![]() 晶圓支撐裝置及其製造方法
专利摘要:
本發明揭示一種用於支撐一晶圓於其上的晶圓支撐裝置,其適於安裝在一半導體處理設備中,該晶圓支撐裝置包括:一基底表面;及突出物,其從該基底表面突出且具有用於支撐一晶圓於其上的圓頭尖端。該等圓頭尖端係致使一晶圓之一反面完全利用該等圓頭尖端以點接觸來支撐。該等突出物實質上均勻布置在該基底表面上放置一晶圓的區域上,其中在使用中決定之該等突出物的數目(N)及高度(H[μm])符合以下針對300-mm晶圓之每面積的不等式:(-0.5N+40)≦H≦53;5≦N≦100。 公开号:TW201310574A 申请号:TW101124745 申请日:2012-07-10 公开日:2013-03-01 发明作者:Fumitaka Shoji;Hideaki Fukuda 申请人:Asm Japan; IPC主号:H01L21-00
专利说明:
晶圓支撐裝置及其製造方法 本發明一般有關於一種安裝於半導體處理室中的晶圓支撐裝置,尤其有關於一種具有用於支撐一晶圓於其上之突出物的晶圓支撐裝置。 一典型習知承載盤具有平坦表面,如圖1A所示。晶圓支撐裝置1適於附接至通常包括加熱器的承載盤基底。晶圓放置在晶圓支撐裝置上,以通常利用電漿增強CVD或ALD進行處理。如圖1A所示(上方圖式為平面圖,及下方圖式為沿著直線1A-1A截取的截面圖),晶圓支撐裝置具有平坦表面。然而,在將晶圓放置在平坦表面上及進行處理時,通常在薄膜形成後,在晶圓的反面上觀察到顆粒的產生及堆積。此外,取決於處理類型,通常將晶圓反面黏在晶圓支撐裝置的頂面上。為了解決上述問題,習知開發有兩種類型的晶圓支撐裝置。圖1B(上方圖式為平面圖,及下方圖式為沿著直線1B-1B截取的截面圖)圖解凸起型晶圓支撐裝置2,其具有以連續基底表面隔離的凸面部分3。圖1C(上方圖式為平面圖,及下方圖式為沿著直線1C-1C截取的截面圖)圖解凹陷型晶圓支撐裝置4,其具有以連續基底表面隔離的凹面部分5。雖然圖1B顯示的凸面部分從上方觀看時為正方形,但其亦可為圓形。同樣地,雖然圖1C顯示的凹面部分從上方觀看時為圓形,但其亦可為正方形。 為了減少在薄膜形成期間在晶圓反面上產生及依附的顆粒數目,一般有效的做法是減少在晶圓反面及承載盤頂面之間的接觸面積。然而,即使使用凸起型或凹陷型晶圓支撐裝置,還是會有顆粒在晶圓反面上產生及堆積的問題。此外,晶圓支撐裝置的凸面或凹面部分也會影響薄膜厚度的均勻性及薄膜性質。 在本揭示內容中包括先前技術中涉及的任何問題討論及解決方案只是為了提供本發明的來龍去脈,不應將其視為認可在本發明形成時已知任何或所有討論。 因此,在一方面中,本發明之目的係提供一種承載盤頂面,其可減少在薄膜形成期間依附於晶圓反面的顆粒數目,並亦可改良薄膜厚度的均勻性及薄膜性質。由於在晶圓反面上觀察到顆粒,其中晶圓反面及晶圓支撐裝置頂面在薄膜形成期間彼此接觸,在一些具體實施例中,為了減少在晶圓反面及晶圓支撐裝置頂面之間的接觸面積,在晶圓支撐裝置的基底表面上提供具有圓頭尖端的突出物,以減少接觸面積。在上文中,減少突出物數目將有效減少在晶圓反面及晶圓支撐裝置頂面之間的接觸點數目。然而,當突出物數目較少時,取決於處理類型,晶圓容易在接觸點之間發生些許下彎或變形(即使其程度不大),因而接觸承載盤頂面及使顆粒在晶圓反面上的產生及堆積增加。在上文中,即使因增加突出物的高度使晶圓發生些許下彎或變形,仍可抑制在晶圓反面及晶圓支撐裝置頂面之間的接觸。然而,當突出物的高度增加時,薄膜應力容易受損。 有鑑於上文,在一些具體實施例中,藉由使用具有圓頭尖端的突出物及使用突出物的數目及高度作為控制參數,設計晶圓支撐裝置,竟出人意料可減少顆粒在晶圓反面上的堆積及改良薄膜性質(諸如薄膜應力及薄膜厚度的均勻性)。 一些具體實施例提供一種用於支撐一晶圓於其上的晶圓支撐裝置,其適於安裝在一半導體處理設備中,該晶圓支撐裝置包含:(i)一基底表面;及(ii)突出物,其從該基底表面突出且具有用於支撐一晶圓於其上的圓頭尖端,該等圓頭尖端係致使一晶圓之一反面完全利用該等圓頭尖端以點接觸來支撐,該等突出物實質上均勻布置在該基底表面上放置一晶圓的區域上,其中在使用中決定之該等突出物的數目(N)及高度(H[μm])符合以下針對一300-mm晶圓之每面積的不等式:(-0.5N+40)H53;5N100。 在另一方面中,一些具體實施例提供一種用於製造一晶圓支撐裝置的方法,該晶圓支撐裝置用於支撐一晶圓於其上且適於安裝在一半導體處理設備中,該方法包含:(I)提供具有一基底表面的一晶圓支撐裝置;(II)使用針對一300-mm晶圓之每面積的以下不等式設計突出物;及(III)製造具有所設計突出物之一晶圓支撐裝置:(-0.5N+40)H53;5N100;其中N及H分別為在使用中決定之該等突出物的數目及高度([μm]),該等突出物從該基底表面突出及具有用於支撐一晶圓於其上的圓頭尖端,該等圓頭尖端係致使一晶圓之一反面完全利用該等圓頭尖端以點接觸來支撐,該等突出物實質上均勻布置在該基底表面上放置一晶圓的區域上。 為了概述本發明各方面及勝於先前技術所達成的優點,在本揭示內容中說明本發明之目的及優點。當然,應瞭解,未必所有此類目的或優點可根據本發明任何特定具體實施例而達成。因此,例如,熟習本技術者應明白,可在未必達成如本文教示或建議的其他目的或優點的情形下,以達成或最佳化如本文教示之一個優點或一組優點的方式體現或實行本發明。 本發明的更多方面、特徵及優點將從以下的「實施方式」變得顯而易見。 在本揭示內容中,「實質上均等」、「實質上均勻」、或類似物可以指熟習本技術者所知諸如小於10%、小於5%、小於1%、或其任何範圍之差異的差異。在本揭示內容中,「點接觸」可以指兩個相異物體理論上一開始彼此碰觸的剛性表面,或實質上在至少一個表面為彎曲的一點處彼此碰觸的剛性表面,或指直徑可為約50 μm或以下或直徑約20 μm或以下的接觸面積。在本揭示內容中,在一些具體實施例中,任何已定義的意義未必排除尋常及慣常的意義。在其中未指定條件及/或結構的本揭示內容中,熟習本技術者可以考慮到本揭示內容,在例行實驗上隨時提供此類條件及/或結構。還有,在本揭示內容中,在特定具體實施例中應用的數目可在其他具體實施例中修改至少±50%的範圍,及在這些具體實施例中應用的範圍可包括或排除邊界點。 如上文說明,在一些具體實施例中,一種用於支撐一晶圓於其上的晶圓支撐裝置適於安裝在半導體處理設備中,該晶圓支撐裝置包含:(i)一基底表面;及(ii)多個突出物,其從該基底表面突出且具有用於支撐一晶圓於其上的圓頭尖端,該等圓頭尖端係致使一晶圓之一反面完全利用該等圓頭尖端以點接觸來支撐,該等突出物實質上均勻布置在該基底表面上放置一晶圓的區域上,其中在使用中決定之該等突出物的數目(N)及高度(H[μm])符合以下針對一300-mm晶圓之每面積的不等式:(-0.5N+40)H53;5N100。圖17為圖解以上關係的曲線圖,其中灰色區域代表顯著改良薄膜性質及顆粒堆積的範圍。在一些具體實施例中,H不超過約50 μm。 高度(H)係定義為通常在晶圓支撐裝置在使用中時,即,在處理腔室中放置在晶圓支撐裝置中的晶圓時,從基底表面的頂部平面(參考平面)至突出物最高點的距離(無論突出物周圍是否有凹處)。在一些具體實施例中,當使用陶瓷球或合金球構成突出物時,由於陶瓷球及包括基底表面之材料之間的熱膨脹差異,當使用晶圓支撐裝置以如約400℃的溫度處理晶圓時,與處理前的突出物高度相比,突出物的高度減少如約10 μm。在一些具體實施例中,當H'係定義為晶圓支撐裝置不在使用中時的突出物高度時,符合不等式(-0.5N+50)<H'<65;5<N<100。在一些具體實施例中,當使用陶瓷球或合金球構成突出物時,H=(H'-10 μm)。在一些具體實施例中,H'至少為(-0.5N+52.3),且不超過60 μm。當突出物藉由機械研磨晶圓支撐裝置的材料表面形成時,由於沒有熱膨脹差異的問題,晶圓支撐裝置在使用中的突出物高度實質上與晶圓支撐裝置不在使用中的突出物高度相同,即,H=H'。 當使用陶瓷球或合金球構成突出物時,可從H'(在室溫下不在使用中的高度)決定H(在處理溫度下在使用中的高度)如下:在晶圓支撐裝置從室溫T0加熱至T1時,在基底表面中容納球於其中之空隙或凹處的深度增加A:A=CTE(M)x D(M)x(T1-T0) 其中CTE(M)是晶圓支撐裝置基底材料的線性熱膨脹係數,及D(M)是空隙或凹處的直徑。 同樣地,當球從室溫T0以T1加熱時,球的直徑增加B:B=CTE(B)x D(B)x(T1-T0) 其中CTE(B)是球的線性熱膨脹係數,及D(B)是球的直徑。因此:H=H'-(A-B) 例如,當球以藍寶石(CTE(B)=7E-6)製成,基底材料為鋁合金6061(CTE(M)=23E-6)時,球及空隙或凹處的直徑為0.002 m(D(B)=D(M)=0.002),及T1為400(T1=400℃,T0=25℃),可計算(A-B)的值為12.0E-6[m]下。當球及空隙或凹處的直徑在上文的相同條件下為0.004 m(D(B)=D(M)=0.004)時,可計算(A-B)的值為24.0E-6[m]下。 在一些具體實施例中,N為約20至約40的整數。在一些具體實施例中,N為21或以上,但小於60。根據這些組態,使用晶圓支撐裝置以TEOS形成的薄膜(諸如氧化矽薄膜)具有穩定的應力(可將晶圓間之薄膜應力偏差抑制在如約20 MPa或約10 MPa內),及依附在晶圓反面上的顆粒數目可針對300-mm晶圓之每面積減少至約400或以下或約200或以下。在一些具體實施例中,晶圓支撐裝置不包括加熱元件或離散電極,或不具有電夾盤所需或充當電夾盤的任何結構。在一些具體實施例中,晶圓支撐裝置包括加熱元件及/或離散電極,且沒有電夾盤所需或充當電夾盤的任何結構。 在一些具體實施例中,由於晶圓反面及突出物的圓頭尖端以點接觸彼此碰觸,其間的初始接觸面積(在薄膜形成前)極小,如,相對於晶圓反面之面積之10-6%至10-3%(在一些具體實施例中,10-5%至10-4%)的範圍。 在一些具體實施例中,布置在基底表面之直徑線上的突出物以實質上均等的間隔配置。在一些具體實施例中,突出物以幾何配置布置在基底表面上,致使突出物之每一者構成由突出物形成之相同正方形或相同正三角形之各者的一點。或者,在一些具體實施例中,突出物係同心地布置。或者,在一些具體實施例中,突出物以幾何配置布置在基底表面上,致使突出物之每一者構成由突出物形成之相同正六邊形之各者的一點。在一些具體實施例中,可以任何組合在單一基底表面上使用任何前述組態。 在一些具體實施例中,以嵌入基底表面中的陶瓷球形成突出物。在一些具體實施例中,陶瓷球以藍寶石製成。在一些具體實施例中,陶瓷球以鋁土、其他氧化鋁、氮化鋁、氮化鎂、碳化矽、或類似物製成。在一些具體實施例中,突出物由圓頂形陶瓷形成。在一些具體實施例中,可使用不鏽鋼、鋁合金、鈦合金、或類似物。在一些具體實施例中,圓頭尖端具有半徑約1 mm至約2 mm。在一些具體實施例中,陶瓷球具有直徑約2 mm至約4 mm。 在一些具體實施例中,突出物由與基底表面之材料相同的材料形成。基底表面可以鋁、陽極氧化鋁、鋁合金、或類似物製成。 在一些具體實施例中,除了支撐晶圓的突出物以外,在基底表面上不提供其他突出物。在一些具體實施例中,基底表面除了突出物以外,沒有任何階梯、間隔物、或邊緣,或沒有任何夾緊機構。在一些具體實施例中,晶圓主要或實質上利用重力放置在突出物上。 本發明之另一方面提供一種半導體處理設備,包含:(I)一反應室,其能夠被抽空;(II)一承載盤,其包括任何前述晶圓支撐裝置及一加熱組,該承載盤安裝在該反應室內;及(III)一噴淋頭,其與該承載盤平行安裝在該反應室內。在一些具體實施例中,使用晶圓支撐裝置作為CVD設備(包括電漿或熱CVD設備)、ALD設備(包括電漿或熱ALD設備)、或蝕刻設備中的承載盤。在一些具體實施例中,半導體處理設備另外包含RF電源,其中承載盤及噴淋頭充當產生電漿的上電極及下電極。 在又另一方面中,本發明提供一種用於製造一晶圓支撐裝置的方法,該晶圓支撐裝置用於支撐一晶圓於其上且適於安裝在一半導體處理設備中,該方法包含:(a)提供具有一基底表面的一晶圓支撐裝置;(b)使用針對一300-mm晶圓之每面積的以下不等式設計突出物;及(c)製造具有所設計突出物之一晶圓支撐裝置:(-0.5N+40)H53;5N100;其中N及H分別為在使用中決定之該等突出物的數目及高度([μm]),該等突出物從該基底表面突出及具有用於支撐一晶圓於其上的圓頭尖端,該等圓頭尖端係致使一晶圓之一反面完全利用該等圓頭尖端以點接觸來支撐,該等突出物實質上均勻布置在該基底表面上放置一晶圓的區域上。 在一些具體實施例中,不等式為:(-0.5N+X)<H<Y;5<N<100,其中在任何組合中,X=40.5、41、42、43、44、或45,及Y=47、48、49、50、51、或52。在一些具體實施例中,N為約20至約40的整數。在一些具體實施例中,N為21或以上,但小於60。 本發明將參考並非用來限制本發明的具體實施例及圖式進行詳細解說。 圖2A是根據本發明之一具體實施例之晶圓支撐裝置10的示意圖,其中上方圖式為俯視圖,中間圖式為根據一具體實施例沿著直線2A-2A截取的截面圖,而下方圖式為根據另一具體實施例沿著直線2A-2A截取的截面圖。在此具體實施例中,突出物11、11'以幾何配置布置遍及基底表面,致使突出物之每一者構成突出物所形成之相同正方形之各者的一點。布置在基底表面之直徑線(2A-2A)上的突出物11、11'以實質上均等的間隔配置。突出物11為嵌入基底表面中的陶瓷球,而突出物11'則以與基底表面之材料相同的材料形成。陶瓷球11可藉由填隙而嵌入基底表面中。例如,可使用JP 2007-180246中揭示的填隙方法,其揭示內容全文以提及方式併入本文中。突出物11'可如藉由機械研磨而形成。圖2B所示晶圓支撐裝置12及突出物13、與圖2A所示類似,只是圖2A中突出物11、11'的數目是21,圖2B中突出物13、的數目則是37。 圖2D所示晶圓支撐裝置16及突出物17、17'與圖2A所示類似,只是圖2A中突出物11、11'的數目是21,圖2D中突出物17、17'的數目是22,及突出物17、17'以幾何配置布置遍及基底表面,致使突出物之每一者構成突出物所形成相同正三角形之各者的一點。在圖2D所示組態中,在所有兩個相鄰突出物之間的距離相同,使得這些突出物可更平均地支撐晶圓,而不會造成下彎。 圖2E所示晶圓支撐裝置18及突出物19、19'與圖2A所示類似,只是突出物19、19'是同心地布置(圖2E中突出物19、19'的數目與圖2A中的相同,均為21)。 圖2C所示晶圓支撐裝置14及突出物15、15'與圖2A所示類似,只是圖2A中突出物11、11'的數目是21,圖2C中突出物15、15'的數目是54,及突出物15、15'以幾何配置布置遍及基底表面,致使突出物之每一者構成突出物所形成相同正六邊形之各者的一點。還有,布置在基底表面之直徑線上的突出物15、15'並非以均等的間隔配置,而是以兩個不同的間隔配置(以較長間隔及較短間隔交替配置)。 圖13根據本發明之一具體實施例,為具備晶圓支撐裝置之半導體處理設備的示意圖。在反應室111中,承載盤頂板101包括能夠上下移動的加熱元件。噴淋頭102平行布置在晶圓支撐裝置101上方。噴淋頭102及晶圓支撐裝置101為電容式耦合並充當上電極及下電極。RF電源105供應RF功率給噴淋頭102,及晶圓支撐裝置係接地。前驅物經由具備閥門103的管線108供應至噴淋頭102,而反應物或其他氣體則經由具備閥門104的管線109供應至噴淋頭102。反應室具有排氣系統(未顯示)。 範例 比較範例1 使用圖13所示半導體處理設備在300-mm晶圓上使用TEOS沈積厚度約350 nm的氧化矽薄膜;在該半導體處理設備中,安裝具有17個同心分布之柱狀突出物(直徑1.0 mm)的晶圓支撐裝置(以鋁合金製成),其高度(H')為50 μm(由於突出物以機械形成,故決定使用中高度(H)與非使用中高度(H')相同)。在薄膜形成後,觀察晶圓的反面。圖3為在薄膜形成後,晶圓反面之刮傷部分之缺陷再檢測SEM(掃描電子顯微鏡)的影像。如圖3所示,一般在突出物尖端所在的區域中觀察到許多較大刮痕的區域,其中一些區域具有1 mm或以上的長度及50-100 μm的寬度。此外,如圖6所示,其為晶圓反面在薄膜形成後的顆粒圖(利用晶圓表面檢驗系統),其中亮點指示顆粒的黏著,觀察到顆粒堆積,其中觀察到247個直徑0.2 μm或以上的顆粒。 範例1 以比較範例1的相同方式在晶圓上執行薄膜形成,但是使用圖2B所示晶圓支撐裝置(37個突出物),其使用直徑2 mm及高度(H')50 μm(H=38 μm)的藍寶石球。由於鋁合金本體及藍寶石球之間的熱膨脹係數差異,決定使用中高度(H)比非使用中高度(H')短約12 μm,其計算如下:A=CTE(M)(23E-6:鋁合金6061)x D(M)(0.002 m)x(T1-T0)(T1=390℃,T0=25℃)=17.0E-6 m B=CTE(B)(7E-6:鋁合金6061)x D(M)(0.002 m)x(T1-T0)(T1=390℃,T0=25℃)=5.18E-6 m A-B=11.8E-6 m H=H'-11.8[μm](12 μm)。 圖4為晶圓反面在薄膜形成後之刮傷部分之缺陷再檢測SEM(掃描電子顯微鏡)的影像。圖5為晶圓反面在薄膜形成後之圓形變形部分之缺陷再檢測SEM(掃描電子顯微鏡)的影像。如圖4及5所示,雖然觀察到刮痕及圓形變形部分,但其均非常小且局限於直徑約20 μm至約30 μm之範圍的小區域中。此外,如圖7所示,其為晶圓反面在薄膜形成後的顆粒圖(利用晶圓表面檢驗系統),其中亮點指示顆粒的黏著,與比較範例1相比,觀察到顯著較少的顆粒堆積,其中觀察到112個直徑0.2 μm或以上的顆粒。 範例2 以範例1的相同方式在晶圓上執行薄膜形成,但是使用圖2A所示的晶圓支撐裝置(21個突出物),其使用直徑2 mm的藍寶石球,及使用圖2B所示的晶圓支撐裝置(37個突出物),其使用直徑2 mm的藍寶石球,及這些晶圓支撐裝置的高度(H')改變如8圖所示,及測量薄膜的薄膜應力(由於鋁合金本體及藍寶石球之間的熱膨脹係數差異,故決定使用中高度(H)比非使用中高度(H')短約12 μm)。圖8根據此具體實施例,為圖解在薄膜應力及突出物高度(H')之間的關係的曲線圖。應力是七個薄膜的平均數,及使用圖2A所示晶圓支撐裝置獲得高度(H')為40 μm或以下時的資料,及使用圖2B所示晶圓支撐裝置獲得高度(H')超過40 μm時的資料。如圖8所示,當突出物的高度(H')小於約60 μm或約65 μm(H<約48或53 μm)時,薄膜應力的偏差可減少在約10 MPa以內。 範例3 以範例1的相同方式在晶圓上執行薄膜形成,但是使用圖2A所示的晶圓支撐裝置(21個突出物),其使用直徑2 mm的藍寶石球,及使用圖2B所示的晶圓支撐裝置(37個突出物),其使用直徑2 mm的藍寶石球,及這些晶圓支撐裝置的高度(H')改變如圖9所示,及測量晶圓反面上的顆粒數目。圖9根據此具體實施例,為圖解在晶圓反面上的顆粒數目及突出物高度(H')之間的關係的曲線圖。顆粒的數目是三個晶圓的平均數。如圖9所示,當圖2A所示突出物(21個突出物)的高度(H')小於約40 μm(H<約28 μm)時,可將直徑0.2 μm或以上的顆粒數目控制在約500以下,及當突出物(21個突出物)的高度(H')超過約40 μm(H>約28 μm)時,可將直徑0.2 μm或以上的顆粒數目控制在約300以下,而當圖2B所示突出物(37個突出物)的高度(H')超過30 μm(H>約18 μm)時,可將直徑0.2 μm或以上的顆粒數目控制在約300以下。對於圖2A所示的突出物(21個突出物)及圖2B所示的突出物(37個突出物),預期當突出物的高度(H')約50 μm或以上(H約38 μm)時,可將顆粒數目減少小於約200。 圖14為在使用具有21個高度(H')為10 μm(H0 μm)的球體頂部突出物的晶圓支撐裝置的薄膜形成後,晶圓反面的顆粒圖(利用晶圓表面檢驗系統),其中亮點指示顆粒的黏著(作為比較範例)。當使用藍寶石球時,決定使用中高度(H)比非使用中高度(H')短約12 μm,及因此,決定12 μm的高度(H')幾乎為完全接觸。圖15為在使用具有21個高度(H')為30 μm(H=18 μm)的球體頂部突出物的晶圓支撐裝置的薄膜形成後,晶圓反面的顆粒圖(利用晶圓表面檢驗系統),其中亮點指示顆粒的黏著(作為比較範例)。圖16為在使用具有21個高度(H')為32 μm(H=20 μm)的球體頂部突出物的晶圓支撐裝置的薄膜形成後,晶圓反面的顆粒圖(利用晶圓表面檢驗系統),其中亮點指示顆粒的黏著(作為比較範例)。對於具有21個突出物(藍寶石球)的晶圓支撐裝置,當高度(H')為32 μm或以下(H20 μm)時,展現出在薄膜形成期間,晶圓反面因下彎而在突出物(在突出物周圍有較少的顆粒)之間的區域中接觸晶圓支撐裝置的基底表面,因而增加晶圓反面上的顆粒堆積。 參考範例1 以比較範例1的相同方式在晶圓上執行薄膜形成,但是改變突出物的高度。圖11為圖解在薄膜厚度均勻性及突出物高度之間的關係的曲線圖。圖12為圖解在薄膜應力及突出物厚度之間的關係的曲線圖。由於突出物以機械形成,決定使用中高度(H)與非使用中高度(H')相同。如圖11及12所示,當突出物的高度超過約60 μm時,不僅損及薄膜應力,而且損及薄膜厚度的均勻性。圖12對應於圖8,及因此,預期亦在使用具有球體頂部突出物的晶圓支撐裝置時,當突出物的高度超過約60 μm時,將損及薄膜厚度的均勻性。 突出物數目及高度之間的關係 透過實驗,可決定平均而言,每個突出物產生兩個直徑0.2 μm或以上及依附於晶圓反面的顆粒。因此,當突出物的數目不超過約100時,預期顆粒的數目不超過約200。此外,當突出物的數目增加時,製造晶圓支撐裝置的成本亦增加。有鑑於上文及前述範例,圖10為圖解顯著改良薄膜應力及顆粒數目之突出物高度(H')及突出物數目之間的關係的曲線圖。在圖10中「N/G」表示不佳或很差,「OK」表示可接受或令人滿意,「Gray」表示在不佳及可接受之間的範圍,及「OK B/L」表示可接受的界線。 熟習本技術者應瞭解,在不脫離本發明之精神下,可進行許多及各種修改。因此,應清楚瞭解,本發明的形式僅為說明性且無意用來限制本發明的範疇。 1‧‧‧晶圓支撐裝置 2‧‧‧凸起型晶圓支撐裝置 3‧‧‧凸面部分 4‧‧‧凹陷型晶圓支撐裝置 5‧‧‧凹面部分 10‧‧‧晶圓支撐裝置 11、11'‧‧‧突出物 12‧‧‧晶圓支撐裝置 13、13'‧‧‧突出物 14‧‧‧晶圓支撐裝置 15、15'‧‧‧突出物 16‧‧‧晶圓支撐裝置 17、17'‧‧‧突出物 18‧‧‧晶圓支撐裝置 19、19'‧‧‧突出物 101‧‧‧承載盤頂板/晶圓支撐裝置 102‧‧‧噴淋頭 103‧‧‧閥門 104‧‧‧閥門 105‧‧‧RF電源 108‧‧‧管線 109‧‧‧管線 111‧‧‧反應室 本發明的以上及其他特徵將參考較佳具體實施例的圖式來說明,這些圖式係用來圖解而非限制本發明。這些圖式為了圖解已大幅簡化且未按比例繪製。 圖1A是習知晶圓支撐裝置的示意圖,其中上方圖式為平面圖,及下方圖式為沿著直線1A-1A截取的截面圖。 圖1B是習知晶圓支撐裝置的示意圖,其中上方圖式為平面圖,及下方圖式為沿著直線1B-1B截取的截面圖。 圖1C是習知晶圓支撐裝置的示意圖,其中上方圖式為平面圖,及下方圖式為沿著直線1C-1C截取的截面圖。 圖2A是根據本發明之一具體實施例之晶圓支撐裝置的示意圖,其中上方圖式為俯視圖,中間圖式為根據一具體實施例沿著直線2A-2A截取的截面圖,而下方圖式為根據另一具體實施例沿著直線2A-2A截取的截面圖。 圖2B是根據本發明之另一具體實施例之晶圓支撐裝置的示意圖,其中上方圖式為俯視圖,中間圖式為根據一具體實施例沿著直線2B-2B截取的截面圖,而下方圖式為根據另一具體實施例沿著直線2B-2B截取的截面圖。 圖2C是根據本發明之又另一具體實施例之晶圓支撐裝置的示意圖,其中上方圖式為俯視圖,中間圖式為根據一具體實施例沿著直線2C-2C截取的截面圖,而下方圖式為根據另一具體實施例沿著直線2C-2C截取的截面圖。 圖2D是根據本發明之再另一具體實施例之晶圓支撐裝置的示意圖,其中上方圖式為俯視圖,中間圖式為根據一具體實施例沿著直線2D-2D截取的截面圖,而下方圖式為根據另一具體實施例沿著直線2D-2D截取的截面圖。 圖2E是根據本發明之一不同具體實施例之晶圓支撐裝置的示意圖,其中上方圖式為俯視圖,中間圖式為根據一具體實施例沿著直線2E-2E截取的截面圖,而下方圖式為根據另一具體實施例沿著直線2E-2E截取的截面圖。 圖3為在使用具有直徑1 mm之柱狀突出物的晶圓支撐裝置的薄膜形成後,晶圓反面之刮傷部分之缺陷再檢測SEM(掃描電子顯微鏡)的影像(作為比較範例)。 圖4根據本發明之一具體實施例,為在使用具有球體頂部突出物(其中嵌入直徑2 mm的球體)的晶圓支撐裝置的薄膜形成後,晶圓反面之刮傷部分之缺陷再檢測SEM(掃描電子顯微鏡)的影像。 圖5根據本發明之一具體實施例,為在使用具有球體頂部突出物(其中嵌入直徑2 mm的球體)的晶圓支撐裝置的薄膜形成後,晶圓反面之圓形變形部分之缺陷再檢測SEM(掃描電子顯微鏡)的影像。 圖6為在使用具有直徑1 mm及高度30 μm之柱狀突出物的晶圓支撐裝置的薄膜形成後,晶圓反面的顆粒圖(利用晶圓表面檢驗系統),其中亮點指示顆粒的黏著(作為比較範例)。 圖7根據本發明之一具體實施例,為在使用具有高度50 μm之球體頂部突出物(其中嵌入直徑2 mm的球體)的晶圓支撐裝置的薄膜形成後,晶圓反面的顆粒圖(利用晶圓表面檢驗系統),其中亮點指示顆粒的黏著。 圖8根據本發明具體實施例,為圖解在薄膜應力及突出物高度之間的關係的曲線圖。 圖9根據本發明具體實施例,為圖解在晶圓反面上的顆粒數目及突出物高度之間的關係的曲線圖。 圖10根據本發明具體實施例,為針對薄膜應力及顆粒數目,圖解在突出物高度及突出物數目之間的關係的曲線圖。 圖11為圖解在薄膜厚度均勻性及突出物高度之間的關係的曲線圖(作為參考範例)。 圖12為圖解在薄膜應力及突出物高度之間的關係的曲線圖(作為參考範例)。 圖13根據本發明之一具體實施例,為具備晶圓支撐裝置之半導體處理設備的示意圖。 圖14為在使用具有高度10 μm之球體頂部突出物(其中嵌入直徑2 mm的球體)的晶圓支撐裝置的薄膜形成後,晶圓反面的顆粒圖(利用晶圓表面檢驗系統),其中亮點指示顆粒的黏著(作為比較範例)。 圖15為在使用具有高度30 μm之球體頂部突出物(其中嵌入直徑2 mm的球體)的晶圓支撐裝置的薄膜形成後,晶圓反面的顆粒圖(利用晶圓表面檢驗系統),其中亮點指示顆粒的黏著(作為比較範例)。 圖16為在使用具有高度32 μm之球體頂部突出物(其中嵌入直徑2 mm的球體)的晶圓支撐裝置的薄膜形成後,晶圓反面的顆粒圖(利用晶圓表面檢驗系統),其中亮點指示顆粒的黏著(作為比較範例)。 圖17根據本發明具體實施例,為圖解在突出物高度H[μm]及突出物數目(N)之間的關係的曲線圖,其中灰色區域代表顯著改良薄膜性質及顆粒堆積的範圍。 10‧‧‧晶圓支撐裝置 11、11'‧‧‧突出物 12‧‧‧晶圓支撐裝置 13、13'‧‧‧突出物 14‧‧‧晶圓支撐裝置 15、15'‧‧‧突出物 16‧‧‧晶圓支撐裝置 17、17'‧‧‧突出物 18‧‧‧晶圓支撐裝置 19、19'‧‧‧突出物
权利要求:
Claims (16) [1] 一種用於支撐一晶圓於其上的晶圓支撐裝置,其適於安裝在一半導體處理設備中,該晶圓支撐裝置包含:一基底表面;及多個突出物,其從該基底表面突出且具有用於支撐一晶圓於其上的多個圓頭尖端,該等圓頭尖端係致使一晶圓之一反面全面地利用該等圓頭尖端以點接觸來支撐,該等突出物實質上均勻地布置在該基底表面上所放置一晶圓的區域上,其中在使用中決定之該等突出物的數目(N)及高度(H[μm])符合以下針對一300-mm晶圓之每面積的不等式:(-0.5N+40)H53;5N100。 [2] 如申請專利範圍第1項所述之晶圓支撐裝置,其中布置在該基底表面之一直徑線上的該等突出物以實質上均等的間隔配置。 [3] 如申請專利範圍第2項所述之晶圓支撐裝置,其中該等突出物以幾何配置布置於該基底表面上,致使該等突出物之每一者構成由該等突出物形成之相同正方形或相同正三角形之各者之一點。 [4] 如申請專利範圍第2項所述之晶圓支撐裝置,其中該等突出物同心地布置。 [5] 如申請專利範圍第1項所述之晶圓支撐裝置,其中該等突出物以幾何配置布置於該基底表面上,致使該等突出物之每一者構成由該等突出物形成之相同正六邊形之各者之一點。 [6] 如申請專利範圍第1項所述之晶圓支撐裝置,其中該等突出物由嵌入該基底表面中的陶瓷球形成。 [7] 如申請專利範圍第1項所述之晶圓支撐裝置,其中該等突出物由圓頂形陶瓷形成。 [8] 如申請專利範圍第1項所述之晶圓支撐裝置,其中該等突出物由與該基底表面之材料相同的材料形成。 [9] 如申請專利範圍第1項所述之晶圓支撐裝置,其中該等圓頭尖端具有半徑約1 mm至約2 mm。 [10] 如申請專利範圍第6項所述之晶圓支撐裝置,其中該等陶瓷球以藍寶石製成。 [11] 如申請專利範圍第6項所述之晶圓支撐裝置,其中該等陶瓷球具有直徑約2 mm至約4 mm。 [12] 如申請專利範圍第1項所述之晶圓支撐裝置,其中N為約20至約40之一整數。 [13] 如申請專利範圍第1項所述之晶圓支撐裝置,其中H不超過約50 μm。 [14] 一種半導體處理設備,包含:一反應室,其能夠被抽空;一承載盤,其包括如申請專利範圍第1項所述之晶圓支撐裝置及一加熱組,該承載盤安裝在該反應室內;及一噴淋頭,其與該承載盤平行安裝在該反應室內。如申請專利範圍第14項所述之半導體處理設備,另外包含一RF電源,其中該承載盤及該噴淋頭充當產生電漿的上電極及下電極。 [15] 一種用於製造一晶圓支撐裝置的方法,該晶圓支撐裝置用於支撐一晶圓於其上且適於安裝在一半導體處理設備中,該方法包含:提供具有一基底表面的一晶圓支撐裝置;使用針對一300-mm晶圓之每面積的以下不等式設計突出物:(-0.5N+40)H53;5N100;其中N及H分別為在使用中決定之該等突出物的數目及高度([μm]),該等突出物從該基底表面突出及具有用於支撐一晶圓於其上的圓頭尖端,該等圓頭尖端係致使一晶圓之一反面完全利用該等圓頭尖端以點接觸來支撐,該等突出物實質上均勻布置在該基底表面上放置一晶圓的區域上;及製造具有所設計突出物之一晶圓支撐裝置。 [16] 如申請專利範圍第16項所述之方法,其中H不超過約50 μm,及N為約20至約40的一整數。
类似技术:
公开号 | 公开日 | 专利标题 TWI570831B|2017-02-11|晶圓支撐裝置及其製造方法 US10395963B2|2019-08-27|Electrostatic chuck US8540819B2|2013-09-24|Ceramic heater TWI728977B|2021-06-01|具有沉積表面特徵之基板支撐組件 KR100861564B1|2008-10-02|반도체 기판 지지 장치 US8074599B2|2011-12-13|Plasma uniformity control by gas diffuser curvature JP4657824B2|2011-03-23|基板載置台、基板処理装置および基板載置台の製造方法 EP0887853A2|1998-12-30|Electrostatic chucks for holding substrates in process chambers TW571382B|2004-01-11|Electrostatic chuck and substrate processing apparatus TW466548B|2001-12-01|Enhancing adhesion of deposits on exposed surfaces in process chamber KR20070039931A|2007-04-13|가스 확산기 곡률에 의한 플라즈마 균일성 제어 US20180130692A1|2018-05-10|Substrate holding member TWI652145B|2019-03-01|基板支撐件及其形成方法 JP5515365B2|2014-06-11|静電チャックおよび静電チャックの製造方法 TWI527929B|2016-04-01|具有滾軋成型表面之基座和其製造方法 CN113611589B|2021-12-24|零部件、等离子体装置、形成耐腐蚀涂层的方法及其装置 CN112714948A|2021-04-27|气体分配组件及其操作
同族专利:
公开号 | 公开日 KR20130009688A|2013-01-23| US20130014896A1|2013-01-17| JP2013026620A|2013-02-04| KR20190042523A|2019-04-24| JP6037547B2|2016-12-07| US10854498B2|2020-12-01| TWI570831B|2017-02-11| KR102303060B1|2021-09-15|
引用文献:
公开号 | 申请日 | 公开日 | 申请人 | 专利标题 TWI650829B|2017-09-22|2019-02-11|大陸商瀋陽拓荊科技有限公司|晶圓承載盤及其支撐結構|US3089507A||1963-05-14||Air eject system control valve | US2059480A|1933-09-20|1936-11-03|John A Obermaier|Thermocouple| US2161626A|1937-09-25|1939-06-06|Walworth Patents Inc|Locking device| US2266416A|1939-01-14|1941-12-16|Western Electric Co|Control apparatus| US2280778A|1939-09-29|1942-04-28|John C Andersen|Garden tool| US2410420A|1944-01-01|1946-11-05|Robert B Bennett|Scraper| US2563931A|1946-04-02|1951-08-14|Honeywell Regulator Co|Rate responsive thermocouple| US2660061A|1949-03-05|1953-11-24|Dominion Eng Works Ltd|Immersion type thermocouple temperature measuring device| US2745640A|1953-09-24|1956-05-15|American Viscose Corp|Heat exchanging apparatus| GB752277A|1953-10-28|1956-07-11|Canadian Ind 1954 Ltd|Improved thermocouple unit| US3094396A|1959-07-07|1963-06-18|Continental Can Co|Method of and apparatus for curing internal coatings on can bodies| US2990045A|1959-09-18|1961-06-27|Lipe Rollway Corp|Thermally responsive transmission for automobile fan| US3038951A|1961-01-19|1962-06-12|Leeds & Northrup Co|Fast acting totally expendable immersion thermocouple| US3232437A|1963-03-13|1966-02-01|Champlon Lab Inc|Spin-on filter cartridge| US3410349A|1964-01-02|1968-11-12|Ted R. Troutman|Tubing scraper and method| US3263502A|1964-01-21|1966-08-02|Redwood L Springfield|Multiple thermocouple support| FR1408266A|1964-06-30|1965-08-13|Realisations Electr Et Electro|Prise de raccordement pour thermocouples| US3588192A|1969-06-02|1971-06-28|Trw Inc|Hydraulic skid control system| US3647387A|1970-03-19|1972-03-07|Stanford Research Inst|Detection device| US3647716A|1970-04-03|1972-03-07|Westvaco Corp|Transport reactor with a venturi tube connection to a combustion chamber for producing activated carbon| US4393013A|1970-05-20|1983-07-12|J. C. Schumacher Company|Vapor mass flow control system| US4436674A|1981-07-30|1984-03-13|J.C. Schumacher Co.|Vapor mass flow control system| US3713899A|1970-11-12|1973-01-30|Ford Motor Co|Thermocouple probe| US3885504A|1971-01-09|1975-05-27|Max Baermann|Magnetic stabilizing or suspension system| US3718429A|1971-03-15|1973-02-27|Du Pont|No-no2 analyzer| CA1002299A|1971-06-24|1976-12-28|William H. Trembley|Installation tool| US3833492A|1971-09-22|1974-09-03|Pollution Control Ind Inc|Method of producing ozone| US3862397A|1972-03-24|1975-01-21|Applied Materials Tech|Cool wall radiantly heated reactor| FR2181175A5|1972-04-20|1973-11-30|Commissariat Energie Atomique|| JPS5132766B2|1972-07-25|1976-09-14||| JPS5539903B2|1972-10-19|1980-10-14||| DE7242602U|1972-11-20|1976-04-29|Hoogovens Ijmuiden B.V., Ijmuiden || DE2427992A1|1973-06-13|1975-03-13|Thermal Syndicate Ltd|Verfahren zum messen hoher temperaturen mit thermoelementen| US3854443A|1973-12-19|1974-12-17|Intel Corp|Gas reactor for depositing thin films| US3947685A|1974-02-15|1976-03-30|Deutsche Forschungs- Und Versuchsanstalt Fur Luft- Und Raumfahrt E.V.|Method and arrangement for determining nitric oxide concentration| US3904371A|1974-03-04|1975-09-09|Beckman Instruments Inc|Chemiluminescent ammonia detection| SU494614A1|1974-05-05|1975-12-05|Специальное Проектно-Конструкторское Бюро "Главнефтеснабсбыта" Усср|Устройство дистанционного измерени уровн жидкости| US3997638A|1974-09-18|1976-12-14|Celanese Corporation|Production of metal ion containing carbon fibers useful in electron shielding applications| US3887790A|1974-10-07|1975-06-03|Vernon H Ferguson|Wrap-around electric resistance heater| SE393967B|1974-11-29|1977-05-31|Sateko Oy|Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket| US4054071A|1975-06-17|1977-10-18|Aetna-Standard Engineering Company|Flying saw with movable work shifter| DE2610556C2|1976-03-12|1978-02-02|Siemens AG, 1000 Berlin und 8000 München|Vorrichtung zum Verteilen strömender Medien über einen Strömungsquerschnitt| USD249341S|1976-11-11|1978-09-12|Umc Industries, Inc.|Electro-mechanical pulser| US4194536A|1976-12-09|1980-03-25|Eaton Corporation|Composite tubing product| US4181330A|1977-03-22|1980-01-01|Noriatsu Kojima|Horn shaped multi-inlet pipe fitting| US4164959A|1977-04-15|1979-08-21|The Salk Institute For Biological Studies|Metering valve| US4176630A|1977-06-01|1979-12-04|Dynair Limited|Automatic control valves| US4126027A|1977-06-03|1978-11-21|Westinghouse Electric Corp.|Method and apparatus for eccentricity correction in a rolling mill| US4145699A|1977-12-07|1979-03-20|Bell Telephone Laboratories, Incorporated|Superconducting junctions utilizing a binary semiconductor barrier| US4217463A|1978-03-13|1980-08-12|National Distillers And Chemical Corporation|Fast responsive, high pressure thermocouple| US4234449A|1979-05-30|1980-11-18|The United States Of America As Represented By The United States Department Of Energy|Method of handling radioactive alkali metal waste| US4389973A|1980-03-18|1983-06-28|Oy Lohja Ab|Apparatus for performing growth of compound thin films| US4322592A|1980-08-22|1982-03-30|Rca Corporation|Susceptor for heating semiconductor substrates| US4355912A|1980-09-12|1982-10-26|Haak Raymond L|Spring loaded sensor fitting| US4479831A|1980-09-15|1984-10-30|Burroughs Corporation|Method of making low resistance polysilicon gate transistors and low resistance interconnections therefor via gas deposited in-situ doped amorphous layer and heat-treatment| US4333735A|1981-03-16|1982-06-08|Exxon Research & Engineering Co.|Process and apparatus for measuring gaseous fixed nitrogen species| US4466766A|1981-05-20|1984-08-21|Ruska Instrument Corporation|Transfer apparatus| USD269850S|1981-07-22|1983-07-26|Drag Specialties, Inc.|Handlebar grip| JPS5819462A|1981-07-24|1983-02-04|Kawasaki Steel Corp|Electric welded steel pipe| JPS624231B2|1981-12-19|1987-01-29|Takanobu Yamamoto|| US4414492A|1982-02-02|1983-11-08|Intent Patent A.G.|Electronic ballast system| FR2529714B1|1982-07-01|1984-12-21|Commissariat Energie Atomique|| US4401507A|1982-07-14|1983-08-30|Advanced Semiconductor Materials/Am.|Method and apparatus for achieving spatially uniform externally excited non-thermal chemical reactions| US4454370A|1982-09-07|1984-06-12|Wahl Instruments, Inc.|Thermocouple surface probe| US4444990A|1982-09-08|1984-04-24|Servo Corporation Of America|Heat sensing device| JPS6339920Y2|1982-09-17|1988-10-19||| US4512113A|1982-09-23|1985-04-23|Budinger William D|Workpiece holder for polishing operation| US4499354A|1982-10-06|1985-02-12|General Instrument Corp.|Susceptor for radiant absorption heater system| US4570328A|1983-03-07|1986-02-18|Motorola, Inc.|Method of producing titanium nitride MOS device gate electrode| JPS59211779A|1983-05-14|1984-11-30|Toshiba Corp|Compressor| US4537001A|1983-05-23|1985-08-27|Uppstroem Leif R|Building elements| US4548688A|1983-05-23|1985-10-22|Fusion Semiconductor Systems|Hardening of photoresist| USD274122S|1983-06-20|1984-06-05|Drag Specialties, Inc.|Motorcycle handlebar grip| JPH0473287B2|1983-08-31|1992-11-20|Hitachi Ltd|| USD288556S|1984-02-21|1987-03-03|Pace, Incorporated|Ornamental design for a frame of circuit elements utilized to replace damaged elements on printed circuit boards| US4735259A|1984-02-21|1988-04-05|Hewlett-Packard Company|Heated transfer line for capillary tubing| US4527005A|1984-03-13|1985-07-02|The United States Of America As Represented By The United States Department Of Energy|Spring loaded thermocouple module| US4724272A|1984-04-17|1988-02-09|Rockwell International Corporation|Method of controlling pyrolysis temperature| US4575636A|1984-04-30|1986-03-11|Rca Corporation|Deep ultraviolet flood exposure system| US4611966A|1984-05-30|1986-09-16|Johnson Lester R|Apparatus for transferring semiconductor wafers| US4590326A|1984-06-14|1986-05-20|Texaco Inc.|Multi-element thermocouple| JPS6138863A|1984-07-30|1986-02-24|Toshiba Corp|Polishing apparatus| US4579378A|1984-10-31|1986-04-01|Snyders Robert V|Mortar joint pointing guide| JPH0752718B2|1984-11-26|1995-06-05|株式会社半導体エネルギー研究所|薄膜形成方法| US4620998A|1985-02-05|1986-11-04|Haresh Lalvani|Crescent-shaped polygonal tiles| US4653541A|1985-06-26|1987-03-31|Parker Hannifin Corporation|Dual wall safety tube| US4789294A|1985-08-30|1988-12-06|Canon Kabushiki Kaisha|Wafer handling apparatus and method| US4664769A|1985-10-28|1987-05-12|International Business Machines Corporation|Photoelectric enhanced plasma glow discharge system and method including radiation means| JPH0651909B2|1985-12-28|1994-07-06|キヤノン株式会社|薄膜多層構造の形成方法| KR940000915B1|1986-01-31|1994-02-04|가부시기가이샤 히다찌세이사꾸쇼|표면 처리방법| US4654226A|1986-03-03|1987-03-31|The University Of Delaware|Apparatus and method for photochemical vapor deposition| US4722298A|1986-05-19|1988-02-02|Machine Technology, Inc.|Modular processing apparatus for processing semiconductor wafers| USD309702S|1986-06-25|1990-08-07|Don Hall|Safety clamp attachment for a hammer| US4718637A|1986-07-02|1988-01-12|Mdc Vacuum Products Corporation|High vacuum gate valve having improved metal vacuum joint| US4681134A|1986-07-23|1987-07-21|Paris Sr Raymond L|Valve lock| US5183511A|1986-07-23|1993-02-02|Semiconductor Energy Laboratory Co., Ltd.|Photo CVD apparatus with a glow discharge system| US4721533A|1986-08-01|1988-01-26|System Planning Corporation|Protective structure for an immersion pyrometer| US4749416A|1986-08-01|1988-06-07|System Planning Corporation|Immersion pyrometer with protective structure for sidewall use| US4882199A|1986-08-15|1989-11-21|Massachusetts Institute Of Technology|Method of forming a metal coating on a substrate| USD311126S|1986-12-23|1990-10-09|Joseph Crowley|Shelf extending mounting bracket for additional product display| US4753856A|1987-01-02|1988-06-28|Dow Corning Corporation|Multilayer ceramic coatings from silicate esters and metal oxides| SU1408319A1|1987-01-06|1988-07-07|Всесоюзный научно-исследовательский институт аналитического приборостроения|Хемилюминесцентный газоанализатор окислов азота| US4753192A|1987-01-08|1988-06-28|Btu Engineering Corporation|Movable core fast cool-down furnace| US4976996A|1987-02-17|1990-12-11|Lam Research Corporation|Chemical vapor deposition reactor and method of use thereof| US5198034A|1987-03-31|1993-03-30|Epsilon Technology, Inc.|Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment| US4821674A|1987-03-31|1989-04-18|Deboer Wiebe B|Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment| US4780169A|1987-05-11|1988-10-25|Tegal Corporation|Non-uniform gas inlet for dry etching apparatus| US4827430A|1987-05-11|1989-05-02|Baxter International Inc.|Flow measurement system| US5221556A|1987-06-24|1993-06-22|Epsilon Technology, Inc.|Gas injectors for reaction chambers in CVD systems| NO161941C|1987-06-25|1991-04-30|Kvaerner Eng|Fremgangsmaate ved og anlegg for transport av hydrokarboner over lang avstand fra en hydrokarbonkilde til havs.| US4837113A|1987-07-16|1989-06-06|Texas Instruments Incorporated|Method for depositing compound from group II-VI| US5062386A|1987-07-27|1991-11-05|Epitaxy Systems, Inc.|Induction heated pancake epitaxial reactor| USD327534S|1987-07-30|1992-06-30|CLM Investments, Inc.|Floor drain strainer| US4854263B1|1987-08-14|1997-06-17|Applied Materials Inc|Inlet manifold and methods for increasing gas dissociation and for PECVD of dielectric films| JPH0777211B2|1987-08-19|1995-08-16|富士通株式会社|アッシング方法| US4756794A|1987-08-31|1988-07-12|The United States Of America As Represented By The Secretary Of The Navy|Atomic layer etching| US4854266A|1987-11-02|1989-08-08|Btu Engineering Corporation|Cross-flow diffusion furnace| US4916091A|1987-11-05|1990-04-10|Texas Instruments Incorporated|Plasma and plasma UV deposition of SiO2| JPH0648217B2|1987-12-24|1994-06-22|川惣電機工業株式会社|溶融金属の連続測温装置| US4830515A|1987-12-28|1989-05-16|Omega Engineering, Inc.|Mounting clip for a thermocouple assembly| US5028366A|1988-01-12|1991-07-02|Air Products And Chemicals, Inc.|Water based mold release compositions for making molded polyurethane foam| FR2628985B1|1988-03-22|1990-12-28|Labo Electronique Physique|Reacteur d'epitaxie a paroi protegee contre les depots| US5069591A|1988-03-24|1991-12-03|Tel Sagami Limited|Semiconductor wafer-processing apparatus| US4978567A|1988-03-31|1990-12-18|Materials Technology Corporation, Subsidiary Of The Carbon/Graphite Group, Inc.|Wafer holding fixture for chemical reaction processes in rapid thermal processing equipment and method for making same| JP2859632B2|1988-04-14|1999-02-17|キヤノン株式会社|成膜装置及び成膜方法| US4857382A|1988-04-26|1989-08-15|General Electric Company|Apparatus and method for photoetching of polyimides, polycarbonates and polyetherimides| US4949848A|1988-04-29|1990-08-21|Fluoroware, Inc.|Wafer carrier| JPH01296613A|1988-05-25|1989-11-30|Nec Corp|Method of vapor growth of iii-v compound semiconductor| US5178682A|1988-06-21|1993-01-12|Mitsubishi Denki Kabushiki Kaisha|Method for forming a thin layer on a semiconductor substrate and apparatus therefor| IT1227708B|1988-07-29|1991-05-06|Pomini Farrel Spa|Dispositivo di rilevamento della temperatura del materiale contenuto entro un apparecchio chiuso.| US5158128A|1988-09-01|1992-10-27|Sumitec, Inc.|Thermocouple for a continuous casting machine| US4986215A|1988-09-01|1991-01-22|Kyushu Electronic Metal Co., Ltd.|Susceptor for vapor-phase growth system| JPH0293071A|1988-09-29|1990-04-03|Toshiba Corp|Thin film formation| JP2918892B2|1988-10-14|1999-07-12|株式会社日立製作所|プラズマエッチング処理方法| US4837185A|1988-10-26|1989-06-06|Intel Corporation|Pulsed dual radio frequency CVD process| DE3836696C1|1988-10-28|1989-12-07|Fraunhofer-Gesellschaft Zur Foerderung Der Angewandten Forschung Ev, 8000 Muenchen, De|Lock for transporting material between clean rooms| US5119760A|1988-12-27|1992-06-09|Symetrix Corporation|Methods and apparatus for material deposition| US5084126A|1988-12-29|1992-01-28|Texas Instruments Incorporated|Method and apparatus for uniform flow distribution in plasma reactors| USD320148S|1988-12-30|1991-09-24|Andrews Edward A|Drill socket| JPH02185038A|1989-01-11|1990-07-19|Nec Corp|Thermal treatment equipment| JPH0834187B2|1989-01-13|1996-03-29|東芝セラミックス株式会社|サセプタ| US5053247A|1989-02-28|1991-10-01|Moore Epitaxial, Inc.|Method for increasing the batch size of a barrel epitaxial reactor and reactor produced thereby| US4934831A|1989-03-20|1990-06-19|Claud S. Gordon Company|Temperature sensing device| US5194401A|1989-04-18|1993-03-16|Applied Materials, Inc.|Thermally processing semiconductor wafers at non-ambient pressures| DE69033711T2|1989-04-25|2001-06-28|Matsushita Electronics Corp|Verfahren zur Herstellung eines bipolaren Transistors| US5192717A|1989-04-28|1993-03-09|Canon Kabushiki Kaisha|Process for the formation of a polycrystalline semiconductor film by microwave plasma chemical vapor deposition method| US5360269A|1989-05-10|1994-11-01|Tokyo Kogyo Kabushiki Kaisha|Immersion-type temperature measuring apparatus using thermocouple| US4987856A|1989-05-22|1991-01-29|Advanced Semiconductor Materials America, Inc.|High throughput multi station processor for multiple single wafers| US5061083A|1989-06-19|1991-10-29|The United States Of America As Represented By The Department Of Energy|Temperature monitoring device and thermocouple assembly therefor| JP2890494B2|1989-07-11|1999-05-17|セイコーエプソン株式会社|プラズマ薄膜の製造方法| US5060322A|1989-07-27|1991-10-29|Delepine Jean C|Shower room and ceiling element, especially for a shower room| US5013691A|1989-07-31|1991-05-07|At&T Bell Laboratories|Anisotropic deposition of silicon dioxide| US5213650A|1989-08-25|1993-05-25|Applied Materials, Inc.|Apparatus for removing deposits from backside and end edge of semiconductor wafer while preventing removal of materials from front surface of wafer| US5057436A|1989-10-02|1991-10-15|Agmaster, Inc.|Method and apparatus for detecting toxic gases| US6095083A|1991-06-27|2000-08-01|Applied Materiels, Inc.|Vacuum processing chamber having multi-mode access| US5098865A|1989-11-02|1992-03-24|Machado Jose R|High step coverage silicon oxide thin films| JPH03155625A|1989-11-14|1991-07-03|Seiko Epson Corp|Manufacture of plasma cvd film| US5181779A|1989-11-22|1993-01-26|Nippon Steel Corporation|Thermocouple temperature sensor and a method of measuring the temperature of molten iron| US5002632A|1989-11-22|1991-03-26|Texas Instruments Incorporated|Method and apparatus for etching semiconductor materials| USD330900S|1990-02-08|1992-11-10|Wakegijig William M|Drill adapter| JP2936623B2|1990-02-26|1999-08-23|日本電気株式会社|半導体装置の製造方法| LU87693A1|1990-03-07|1991-10-08|Wurth Paul Sa|Sonde de prise d'echantillons gazeux et de mesures thermiques dans un four a cuve| JPH03277774A|1990-03-27|1991-12-09|Semiconductor Energy Lab Co Ltd|Photo-vapor reaction device| DE4011933C2|1990-04-12|1996-11-21|Balzers Hochvakuum|Verfahren zur reaktiven Oberflächenbehandlung eines Werkstückes sowie Behandlungskammer hierfür| US5243202A|1990-04-25|1993-09-07|Casio Computer Co., Ltd.|Thin-film transistor and a liquid crystal matrix display device using thin-film transistors of this type| US5356672A|1990-05-09|1994-10-18|Jet Process Corporation|Method for microwave plasma assisted supersonic gas jet deposition of thin films| CA2016970A1|1990-05-16|1991-11-16|Prasad N. Gadgil|Inverted diffusion stagnation point flow reactor for vapor deposition of thin films| JPH0429313A|1990-05-24|1992-01-31|Fujitsu Ltd|Device for producing semiconductor crystal| US5130003A|1990-06-14|1992-07-14|Conrad Richard H|method of powering corona discharge in ozone generators| US5225366A|1990-06-22|1993-07-06|The United States Of America As Represented By The Secretary Of The Navy|Apparatus for and a method of growing thin films of elemental semiconductors| JPH0464025A|1990-07-02|1992-02-28|Matsushita Electric Ind Co Ltd|Temperature sensor for cooking apparatus| EP0493609B1|1990-07-18|1997-09-10|Sumitomo Electric Industries, Ltd.|Method and device for manufacturing diamond| KR0176715B1|1990-07-30|1999-04-15|오가 노리오|드라이에칭방법| US5082517A|1990-08-23|1992-01-21|Texas Instruments Incorporated|Plasma density controller for semiconductor device processing equipment| JPH04115531A|1990-09-05|1992-04-16|Mitsubishi Electric Corp|Chemical vapor growth device| US5273609A|1990-09-12|1993-12-28|Texas Instruments Incorporated|Method and apparatus for time-division plasma chopping in a multi-channel plasma processing equipment| US5167716A|1990-09-28|1992-12-01|Gasonics, Inc.|Method and apparatus for batch processing a semiconductor wafer| JP2780866B2|1990-10-11|1998-07-30|大日本スクリーン製造株式会社|光照射加熱基板の温度測定装置| TW214599B|1990-10-15|1993-10-11|Seiko Epson Corp|| JP2714247B2|1990-10-29|1998-02-16|キヤノン株式会社|マイクロ波プラズマcvd法による大面積の機能性堆積膜を連続的に形成する方法及び装置| US5228114A|1990-10-30|1993-07-13|Tokyo Electron Sagami Limited|Heat-treating apparatus with batch scheme having improved heat controlling capability| US5071258A|1991-02-01|1991-12-10|Vesuvius Crucible Company|Thermocouple assembly| JPH05136218A|1991-02-19|1993-06-01|Tokyo Electron Yamanashi Kk|検査装置| JP2740050B2|1991-03-19|1998-04-15|株式会社東芝|溝埋込み配線形成方法| JP3323530B2|1991-04-04|2002-09-09|株式会社日立製作所|半導体装置の製造方法| US5116018A|1991-04-12|1992-05-26|Automax, Inc.|Lockout modules| US5243195A|1991-04-25|1993-09-07|Nikon Corporation|Projection exposure apparatus having an off-axis alignment system and method of alignment therefor| US5104514A|1991-05-16|1992-04-14|The United States Of America As Represented By The Secretary Of The Navy|Protective coating system for aluminum| US5259881A|1991-05-17|1993-11-09|Materials Research Corporation|Wafer processing cluster tool batch preheating and degassing apparatus| US6054013A|1996-02-02|2000-04-25|Applied Materials, Inc.|Parallel plate electrode plasma reactor having an inductive antenna and adjustable radial distribution of plasma ion density| JPH0523079A|1991-07-19|1993-02-02|Shimano Inc|釣り竿及びその製造方法| US5480818A|1992-02-10|1996-01-02|Fujitsu Limited|Method for forming a film and method for manufacturing a thin film transistor| US5137286A|1991-08-23|1992-08-11|General Electric Company|Permanent magnet floating shaft seal| JP3040212B2|1991-09-05|2000-05-15|株式会社東芝|気相成長装置| US5294778A|1991-09-11|1994-03-15|Lam Research Corporation|CVD platen heater system utilizing concentric electric heating elements| US5154301A|1991-09-12|1992-10-13|Fluoroware, Inc.|Wafer carrier| CA2078540A1|1991-09-17|1993-03-18|So Tanaka|Superconducting thin film formed of oxide superconductor material, superconducting device utilizing the superconducting thin film| JPH05118928A|1991-10-25|1993-05-14|Tokyo Electron Ltd|接触式の温度測定方法| US5199603A|1991-11-26|1993-04-06|Prescott Norman F|Delivery system for organometallic compounds| JPH05171446A|1991-12-24|1993-07-09|Furukawa Electric Co Ltd:The|薄膜形成方法| US5414221A|1991-12-31|1995-05-09|Intel Corporation|Embedded ground plane and shielding structures using sidewall insulators in high frequency circuits having vias| US5215588A|1992-01-17|1993-06-01|Amtech Systems, Inc.|Photo-CVD system| US6379466B1|1992-01-17|2002-04-30|Applied Materials, Inc.|Temperature controlled gas distribution plate| JP2506539B2|1992-02-27|1996-06-12|株式会社ジーティシー|絶縁膜の形成方法| NL9200446A|1992-03-10|1993-10-01|Tempress B V|Inrichting voor het behandelen van microschakeling-schijven .| US5226383A|1992-03-12|1993-07-13|Bell Communications Research, Inc.|Gas foil rotating substrate holder| JPH05267186A|1992-03-18|1993-10-15|Fujitsu Ltd|気相成長装置および該装置を用いた気相成長方法| JP3191392B2|1992-04-07|2001-07-23|神鋼電機株式会社|クリーンルーム用密閉式コンテナ| US5455069A|1992-06-01|1995-10-03|Motorola, Inc.|Method of improving layer uniformity in a CVD reactor| DE69320963T2|1992-06-22|1999-05-12|Lam Res Corp|Plasmareinigungsverfahren zum entfernen von rückständen in einer plasmabehandlungskammer| US5534072A|1992-06-24|1996-07-09|Anelva Corporation|Integrated module multi-chamber CVD processing system and its method for processing subtrates| JP3148004B2|1992-07-06|2001-03-19|株式会社東芝|光cvd装置及びこれを用いた半導体装置の製造方法| US5601641A|1992-07-21|1997-02-11|Tse Industries, Inc.|Mold release composition with polybutadiene and method of coating a mold core| US5306666A|1992-07-24|1994-04-26|Nippon Steel Corporation|Process for forming a thin metal film by chemical vapor deposition| JPH0653210A|1992-07-28|1994-02-25|Nec Corp|半導体装置| KR100304127B1|1992-07-29|2001-11-30|이노마다 시게오|가반식 밀폐 컨테이너를 사용한 전자기판 처리시스템과 그의 장치| ES2078718T3|1992-08-04|1995-12-16|Ibm|Estructuras de cadenas de fabricacion a base de transportadores totalmente automatizados e informatizados adaptados a recipientes transportables estancos a presion.| US5271967A|1992-08-21|1993-12-21|General Motors Corporation|Method and apparatus for application of thermal spray coatings to engine blocks| USD363464S|1992-08-27|1995-10-24|Tokyo Electron Yamanashi Limited|Electrode for a semiconductor processing apparatus| US5338362A|1992-08-29|1994-08-16|Tokyo Electron Limited|Apparatus for processing semiconductor wafer comprising continuously rotating wafer table and plural chamber compartments| US5326427A|1992-09-11|1994-07-05|Lsi Logic Corporation|Method of selectively etching titanium-containing materials on a semiconductor wafer using remote plasma generation| US5246218A|1992-09-25|1993-09-21|Intel Corporation|Apparatus for securing an automatically loaded wafer cassette on a wafer processing equipment| US6438502B1|1992-10-07|2002-08-20|Dallas Semiconductor Corporation|Environmental condition sensor device and method| USD354898S|1992-10-13|1995-01-31|Verdel Innovations|Egg holder for use with a stand for decorating eggs| JP3093487B2|1992-10-28|2000-10-03|松下電子工業株式会社|半導体装置およびその製造方法| US6235858B1|1992-10-30|2001-05-22|Ppg Industries Ohio, Inc.|Aminoplast curable film-forming compositions providing films having resistance to acid etching| JPH06295862A|1992-11-20|1994-10-21|Mitsubishi Electric Corp|化合物半導体製造装置及び有機金属材料容器| IT1257434B|1992-12-04|1996-01-17|Cselt Centro Studi Lab Telecom|Generatore di vapori per impianti di deposizione chimica da fase vapore| US5382311A|1992-12-17|1995-01-17|Tokyo Electron Limited|Stage having electrostatic chuck and plasma processing apparatus using same| DE4244189C2|1992-12-24|1995-06-01|Busch Dieter & Co Prueftech|Anlegetemperaturfühler| US5453124A|1992-12-30|1995-09-26|Texas Instruments Incorporated|Programmable multizone gas injector for single-wafer semiconductor processing equipment| CA2114294A1|1993-01-05|1995-07-27|Thomas Earle Allen|Apparatus and method for continuously mixing fluids| US5444217A|1993-01-21|1995-08-22|Moore Epitaxial Inc.|Rapid thermal processing apparatus for processing semiconductor wafers| KR100251873B1|1993-01-21|2000-04-15|마쓰바 구니유키|종형 열처리 장치| US5709745A|1993-01-25|1998-01-20|Ohio Aerospace Institute|Compound semi-conductors and controlled doping thereof| JPH06319177A|1993-02-24|1994-11-15|Hewlett Packard Co <Hp>|適応遠隔制御システム| US5421893A|1993-02-26|1995-06-06|Applied Materials, Inc.|Susceptor drive and wafer displacement mechanism| JP3265042B2|1993-03-18|2002-03-11|東京エレクトロン株式会社|成膜方法| US5616947A|1994-02-01|1997-04-01|Matsushita Electric Industrial Co., Ltd.|Semiconductor device having an MIS structure| US5305417A|1993-03-26|1994-04-19|Texas Instruments Incorporated|Apparatus and method for determining wafer temperature using pyrometry| DE4311197A1|1993-04-05|1994-10-06|Patent Treuhand Ges Fuer Elektrische Gluehlampen Mbh|Verfahren zum Betreiben einer inkohärent strahlenden Lichtquelle| EP0621051B1|1993-04-17|2001-08-22|MESSER GRIESHEIM AUSTRIA Ges.m.b.H.|Gerät zur kontrollierten Zudosierung von NO zur Atemluft von Patienten| JPH06310438A|1993-04-22|1994-11-04|Mitsubishi Electric Corp|化合物半導体気相成長用基板ホルダおよび化合物半導体気相成長装置| US5404082A|1993-04-23|1995-04-04|North American Philips Corporation|High frequency inverter with power-line-controlled frequency modulation| USD353452S|1993-04-27|1994-12-13|Groenhoff Larry C|Window adapter for portable box fans| JP2508581B2|1993-05-28|1996-06-19|日本電気株式会社|化学気相成長法| US5501740A|1993-06-04|1996-03-26|Applied Science And Technology, Inc.|Microwave plasma reactor| US5354580A|1993-06-08|1994-10-11|Cvd Incorporated|Triangular deposition chamber for a vapor deposition system| US5616264A|1993-06-15|1997-04-01|Tokyo Electron Limited|Method and apparatus for controlling temperature in rapid heat treatment system| JPH0799162A|1993-06-21|1995-04-11|Hitachi Ltd|Cvdリアクタ装置| ES2126022T3|1993-06-28|1999-03-16|Canon Kk|Resistencia generadora de calor que contiene tan0,8, substrato dotado de dicha resistencia generadora de calor, para cabezal por chorros de liquido, cabezal para chorros de liquido dotado de dicho substrato y aparato de chorros de tinta dotado del cabezal para chorros de liquido.| US5997768A|1993-06-29|1999-12-07|Ciba Specialty Chemicals Corporation|Pelletization of metal soap powders| US5484484A|1993-07-03|1996-01-16|Tokyo Electron Kabushiki|Thermal processing method and apparatus therefor| JPH0729836A|1993-07-14|1995-01-31|Sony Corp|プラズマシリコンナイトライド膜の形成方法| JP3667781B2|1993-07-16|2005-07-06|株式会社日立製作所|エンジンシステムの診断装置| US5415753A|1993-07-22|1995-05-16|Materials Research Corporation|Stationary aperture plate for reactive sputter deposition| US5348774A|1993-08-11|1994-09-20|Alliedsignal Inc.|Method of rapidly densifying a porous structure| JP3418458B2|1993-08-31|2003-06-23|富士通株式会社|半導体装置の製造方法| US5418382A|1993-09-23|1995-05-23|Fsi International, Inc.|Substrate location and detection apparatus| JPH07109576A|1993-10-07|1995-04-25|Shinko Seiki Co Ltd|プラズマcvdによる成膜方法| JP3348936B2|1993-10-21|2002-11-20|東京エレクトロン株式会社|縦型熱処理装置| US6122036A|1993-10-21|2000-09-19|Nikon Corporation|Projection exposure apparatus and method| JP2682403B2|1993-10-29|1997-11-26|日本電気株式会社|半導体装置の製造方法| US5413813A|1993-11-23|1995-05-09|Enichem S.P.A.|CVD of silicon-based ceramic materials on internal surface of a reactor| US5463176A|1994-01-03|1995-10-31|Eckert; C. Edward|Liquid waste oxygenation| JPH07209093A|1994-01-20|1995-08-11|Tokyo Electron Ltd|温度計| US5681779A|1994-02-04|1997-10-28|Lsi Logic Corporation|Method of doping metal layers for electromigration resistance| JPH07225214A|1994-02-14|1995-08-22|Shimadzu Corp|NOx計測装置| US5589002A|1994-03-24|1996-12-31|Applied Materials, Inc.|Gas distribution plate for semiconductor wafer processing apparatus with means for inhibiting arcing| JP3211548B2|1994-03-30|2001-09-25|ウシオ電機株式会社|誘電体バリア放電蛍光ランプ| JPH07283149A|1994-04-04|1995-10-27|Nissin Electric Co Ltd|薄膜気相成長装置| US5900103A|1994-04-20|1999-05-04|Tokyo Electron Limited|Plasma treatment method and apparatus| JPH07297271A|1994-04-22|1995-11-10|Shinko Electric Co Ltd|異サイズのウェ−ハカセットを任意に支持可能な支持機構| US6447232B1|1994-04-28|2002-09-10|Semitool, Inc.|Semiconductor wafer processing apparatus having improved wafer input/output handling system| US5431734A|1994-04-28|1995-07-11|International Business Machines Corporation|Aluminum oxide low pressure chemical vapor deposition system-fourier transform infrared source chemical control| US5531835A|1994-05-18|1996-07-02|Applied Materials, Inc.|Patterned susceptor to reduce electrostatic force in a CVD chamber| JP3181171B2|1994-05-20|2001-07-03|シャープ株式会社|気相成長装置および気相成長方法| KR0144956B1|1994-06-10|1998-08-17|김광호|반도체 장치의 배선 구조 및 그 형성방법| DE69531654T2|1994-06-15|2004-07-29|Seiko Epson Corp.|Verfahren zur herstellung eines dünnschicht-halbleiter-transistors| US5423942A|1994-06-20|1995-06-13|Texas Instruments Incorporated|Method and apparatus for reducing etching erosion in a plasma containment tube| US5504042A|1994-06-23|1996-04-02|Texas Instruments Incorporated|Porous dielectric material with improved pore surface properties for electronics applications| US5510277A|1994-06-29|1996-04-23|At&T Corp.|Surface treatment for silicon substrates| JP2709568B2|1994-06-30|1998-02-04|日本プレシジョン・サーキッツ株式会社|ダウンフロー型スピンドライヤ| US6022414A|1994-07-18|2000-02-08|Semiconductor Equipment Group, Llc|Single body injector and method for delivering gases to a surface| US5838029A|1994-08-22|1998-11-17|Rohm Co., Ltd.|GaN-type light emitting device formed on a silicon substrate| US5730801A|1994-08-23|1998-03-24|Applied Materials, Inc.|Compartnetalized substrate processing chamber| US5669713A|1994-09-27|1997-09-23|Rosemount Inc.|Calibration of process control temperature transmitter| JP3632256B2|1994-09-30|2005-03-23|株式会社デンソー|窒化シリコン膜を有する半導体装置の製造方法| US5514439A|1994-10-14|1996-05-07|Sibley; Thomas|Wafer support fixtures for rapid thermal processing| US5576629A|1994-10-24|1996-11-19|Fourth State Technology, Inc.|Plasma monitoring and control method and system| JP2845163B2|1994-10-27|1999-01-13|日本電気株式会社|プラズマ処理方法及びその装置| CA2204736A1|1994-11-08|1996-05-23|Charles H. Ferguson|An online service development tool with fee setting capabilities| US20020114886A1|1995-07-06|2002-08-22|Applied Materials, Inc.|Method of tisin deposition using a chemical vapor deposition process| US6699530B2|1995-07-06|2004-03-02|Applied Materials, Inc.|Method for constructing a film on a semiconductor wafer| US5583736A|1994-11-17|1996-12-10|The United States Of America As Represented By The Department Of Energy|Micromachined silicon electrostatic chuck| FI97731C|1994-11-28|1997-02-10|Mikrokemia Oy|Menetelmä ja laite ohutkalvojen valmistamiseksi| FI100409B|1994-11-28|1997-11-28|Asm Int|Menetelmä ja laitteisto ohutkalvojen valmistamiseksi| FI97730C|1994-11-28|1997-02-10|Mikrokemia Oy|Laitteisto ohutkalvojen valmistamiseksi| US5558717A|1994-11-30|1996-09-24|Applied Materials|CVD Processing chamber| JPH08181135A|1994-12-22|1996-07-12|Sharp Corp|半導体装置の製造方法| US5716133A|1995-01-17|1998-02-10|Applied Komatsu Technology, Inc.|Shielded heat sensor for measuring temperature| US5586585A|1995-02-27|1996-12-24|Asyst Technologies, Inc.|Direct loadlock interface| JP3151118B2|1995-03-01|2001-04-03|東京エレクトロン株式会社|熱処理装置| US5518549A|1995-04-18|1996-05-21|Memc Electronic Materials, Inc.|Susceptor and baffle therefor| JP3360098B2|1995-04-20|2002-12-24|東京エレクトロン株式会社|処理装置のシャワーヘッド構造| US5852879A|1995-04-26|1998-12-29|Schumaier; Daniel R.|Moisture sensitive item drying appliance| SE506163C2|1995-04-27|1997-11-17|Ericsson Telefon Ab L M|Anordning vid ett kiselsubstrat med ett urtag för upptagande av ett element jämte förfarande för framställande av en dylik anordning| US5761328A|1995-05-22|1998-06-02|Solberg Creations, Inc.|Computer automated system and method for converting source-documents bearing alphanumeric text relating to survey measurements| US5540898A|1995-05-26|1996-07-30|Vasogen Inc.|Ozone generator with in-line ozone sensor| US5708825A|1995-05-26|1998-01-13|Iconovex Corporation|Automatic summary page creation and hyperlink generation| US5663899A|1995-06-05|1997-09-02|Advanced Micro Devices|Redundant thermocouple| US6190634B1|1995-06-07|2001-02-20|President And Fellows Of Harvard College|Carbide nanomaterials| US5982931A|1995-06-07|1999-11-09|Ishimaru; Mikio|Apparatus and method for the manipulation of image containing documents| US5683517A|1995-06-07|1997-11-04|Applied Materials, Inc.|Plasma reactor with programmable reactant gas distribution| JPH08335558A|1995-06-08|1996-12-17|Nissin Electric Co Ltd|薄膜気相成長装置| JP3380091B2|1995-06-09|2003-02-24|株式会社荏原製作所|反応ガス噴射ヘッド及び薄膜気相成長装置| US5685912A|1995-06-20|1997-11-11|Sony Corporation|Pressure control system for semiconductor manufacturing equipment| USD392855S|1995-06-26|1998-03-31|Pillow Daryl R|Floor protection template for use while spray-painting door frames| TW283250B|1995-07-10|1996-08-11|Watkins Johnson Co|Plasma enhanced chemical processing reactor and method| TW294820B|1995-07-10|1997-01-01|Watkins Johnson Co|Gas distribution apparatus| US20020078893A1|2000-05-18|2002-06-27|Applied Materials , Inc.|Plasma enhanced chemical processing reactor and method| US6093252A|1995-08-03|2000-07-25|Asm America, Inc.|Process chamber with inner support| NO953217L|1995-08-16|1997-02-17|Aker Eng As|Metode og innretning ved rörbunter| JPH0964149A|1995-08-29|1997-03-07|Hitachi Electron Eng Co Ltd|半導体製造装置| US6053982A|1995-09-01|2000-04-25|Asm America, Inc.|Wafer support system| US6113702A|1995-09-01|2000-09-05|Asm America, Inc.|Wafer support system| JP3504784B2|1995-09-07|2004-03-08|東京エレクトロン株式会社|熱処理方法| TW371796B|1995-09-08|1999-10-11|Semiconductor Energy Lab Co Ltd|Method and apparatus for manufacturing a semiconductor device| JPH0989676A|1995-09-21|1997-04-04|Casio Comput Co Ltd|電子体温計| US5791782A|1995-09-21|1998-08-11|Fusion Systems Corporation|Contact temperature probe with unrestrained orientation| DE19535178C2|1995-09-22|2001-07-19|Jenoptik Jena Gmbh|Einrichtung zum Ver- und Entriegeln einer Tür eines Behälters| US5997588A|1995-10-13|1999-12-07|Advanced Semiconductor Materials America, Inc.|Semiconductor processing system with gas curtain| DE29517100U1|1995-10-17|1997-02-13|Zimmer Johannes|Strömungsteilungs- und -umformungskörper| TW356554B|1995-10-23|1999-04-21|Watkins Johnson Co|Gas injection system for semiconductor processing| US5801104A|1995-10-24|1998-09-01|Micron Technology, Inc.|Uniform dielectric film deposition on textured surfaces| US6299404B1|1995-10-27|2001-10-09|Brooks Automation Inc.|Substrate transport apparatus with double substrate holders| KR100201386B1|1995-10-28|1999-06-15|구본준|화학기상증착장비의 반응가스 분사장치| IL115931D0|1995-11-09|1996-01-31|Oramir Semiconductor Ltd|Laser stripping improvement by modified gas composition| US5736314A|1995-11-16|1998-04-07|Microfab Technologies, Inc.|Inline thermo-cycler| JPH09148322A|1995-11-22|1997-06-06|Sharp Corp|シリコン酸化膜の成膜方法及びプラズマcvd成膜装置| US5796074A|1995-11-28|1998-08-18|Applied Materials, Inc.|Wafer heater assembly| JPH09172055A|1995-12-19|1997-06-30|Fujitsu Ltd|静電チャック及びウエハの吸着方法| US5954375A|1995-12-21|1999-09-21|Edstrom Industries, Inc.|Sanitary fitting having ferrule with grooved undercut| US5697706A|1995-12-26|1997-12-16|Chrysler Corporation|Multi-point temperature probe| KR100267418B1|1995-12-28|2000-10-16|엔도 마코토|플라스마처리방법및플라스마처리장치| US5679215A|1996-01-02|1997-10-21|Lam Research Corporation|Method of in situ cleaning a vacuum plasma processing chamber| US6017818A|1996-01-22|2000-01-25|Texas Instruments Incorporated|Process for fabricating conformal Ti-Si-N and Ti-B-N based barrier films with low defect density| US5632919A|1996-01-25|1997-05-27|T.G.M., Inc.|Temperature controlled insulation system| JPH09213772A|1996-01-30|1997-08-15|Dainippon Screen Mfg Co Ltd|基板保持装置| SE9600705D0|1996-02-26|1996-02-26|Abb Research Ltd|A susceptor for a device for epitaxially growing objects and such a device| US5837320A|1996-02-27|1998-11-17|The University Of New Mexico|Chemical vapor deposition of metal sulfide films from metal thiocarboxylate complexes with monodenate or multidentate ligands| US5656093A|1996-03-08|1997-08-12|Applied Materials, Inc.|Wafer spacing mask for a substrate support chuck and method of fabricating same| US5732744A|1996-03-08|1998-03-31|Control Systems, Inc.|Method and apparatus for aligning and supporting semiconductor process gas delivery and regulation components| DE19609678C2|1996-03-12|2003-04-17|Infineon Technologies Ag|Speicherzellenanordnung mit streifenförmigen, parallel verlaufenden Gräben und vertikalen MOS-Transistoren und Verfahren zu deren Herstellung| USD411516S|1996-03-15|1999-06-29|Tokyo Electron Limited|Gas diffusion plate for electrode of semiconductor wafer processing apparatus| USD380527S|1996-03-19|1997-07-01|Cherle Velez|Sink drain shield| DE69732722T2|1996-03-22|2006-02-02|Taiyo Nippon Sanso Corporation|CVD Verfahren| US6106678A|1996-03-29|2000-08-22|Lam Research Corporation|Method of high density plasma CVD gap-filling| US5667592A|1996-04-16|1997-09-16|Gasonics International|Process chamber sleeve with ring seals for isolating individual process modules in a common cluster| KR100212132B1|1996-04-24|1999-08-02|윤종용|횡형 확산로의 프로파일 열전대| US5819434A|1996-04-25|1998-10-13|Applied Materials, Inc.|Etch enhancement using an improved gas distribution plate| USD386076S|1996-05-14|1997-11-11|Camco Manufacturing, Inc.|Awning clamp| US5844683A|1996-05-22|1998-12-01|Applied Materials, Inc.|Position sensor system for substrate holders| US5920798A|1996-05-28|1999-07-06|Matsushita Battery Industrial Co., Ltd.|Method of preparing a semiconductor layer for an optical transforming device| US6534133B1|1996-06-14|2003-03-18|Research Foundation Of State University Of New York|Methodology for in-situ doping of aluminum coatings| US5801945A|1996-06-28|1998-09-01|Lam Research Corporation|Scheduling method for robotic manufacturing processes| US5779203A|1996-06-28|1998-07-14|Edlinger; Erich|Adjustable wafer cassette stand| EP0818671A3|1996-07-12|1998-07-08|Isuzu Ceramics Research Institute Co., Ltd.|A ceramic sheath type thermocouple| US5837058A|1996-07-12|1998-11-17|Applied Materials, Inc.|High temperature susceptor| US5993916A|1996-07-12|1999-11-30|Applied Materials, Inc.|Method for substrate processing with improved throughput and yield| US5915562A|1996-07-12|1999-06-29|Fluoroware, Inc.|Transport module with latching door| US5846332A|1996-07-12|1998-12-08|Applied Materials, Inc.|Thermally floating pedestal collar in a chemical vapor deposition chamber| US5700729A|1996-07-15|1997-12-23|Taiwan Semiconductor Manufacturing Company, Ltd.|Masked-gate MOS S/D implantation| US5827757A|1996-07-16|1998-10-27|Direct Radiography Corp.|Fabrication of large area x-ray image capturing element| JP3122617B2|1996-07-19|2001-01-09|東京エレクトロン株式会社|プラズマ処理装置| US5879128A|1996-07-24|1999-03-09|Applied Materials, Inc.|Lift pin and support pin apparatus for a processing chamber| US5724748A|1996-07-24|1998-03-10|Brooks; Ray G.|Apparatus for packaging contaminant-sensitive articles and resulting package| US5781693A|1996-07-24|1998-07-14|Applied Materials, Inc.|Gas introduction showerhead for an RTP chamber with upper and lower transparent plates and gas flow therebetween| US5987480A|1996-07-25|1999-11-16|Donohue; Michael|Method and system for delivering documents customized for a particular user over the internet using imbedded dynamic content| KR0183912B1|1996-08-08|1999-05-01|김광호|다중 반응 챔버에 연결된 펌핑 설비 및 이를 사용하는 방법| US6342277B1|1996-08-16|2002-01-29|Licensee For Microelectronics: Asm America, Inc.|Sequential chemical vapor deposition| US5916365A|1996-08-16|1999-06-29|Sherman; Arthur|Sequential chemical vapor deposition| JP3122618B2|1996-08-23|2001-01-09|東京エレクトロン株式会社|プラズマ処理装置| TW344847B|1996-08-29|1998-11-11|Tokyo Electron Co Ltd|Substrate treatment system, substrate transfer system, and substrate transfer method| US5806980A|1996-09-11|1998-09-15|Novellus Systems, Inc.|Methods and apparatus for measuring temperatures at high potential| US5857777A|1996-09-25|1999-01-12|Claud S. Gordon Company|Smart temperature sensing device| US6048154A|1996-10-02|2000-04-11|Applied Materials, Inc.|High vacuum dual stage load lock and method for loading and unloading wafers using a high vacuum dual stage load lock| KR19980026850A|1996-10-11|1998-07-15|김광호|웨이퍼의 휨을 검사하는 기능을 갖는 급속 열처리 장비| US5928389A|1996-10-21|1999-07-27|Applied Materials, Inc.|Method and apparatus for priority based scheduling of wafer processing within a multiple chamber semiconductor wafer processing tool| US6073973A|1996-10-31|2000-06-13|Stanley Aviation Corporation|Lightweight positive lock coupling| US6347636B1|1996-11-13|2002-02-19|Applied Materials, Inc.|Methods and apparatus for gettering fluorine from chamber material surfaces| US6444037B1|1996-11-13|2002-09-03|Applied Materials, Inc.|Chamber liner for high temperature processing chamber| US5855681A|1996-11-18|1999-01-05|Applied Materials, Inc.|Ultra high throughput wafer vacuum processing system| JP3740587B2|1996-11-25|2006-02-01|山里産業株式会社|熱電対| US5753835A|1996-12-12|1998-05-19|Caterpillar Inc.|Receptacle for holding a sensing device| US6367410B1|1996-12-16|2002-04-09|Applied Materials, Inc.|Closed-loop dome thermal control apparatus for a semiconductor wafer processing system| US5953635A|1996-12-19|1999-09-14|Intel Corporation|Interlayer dielectric with a composite dielectric stack| US5984391A|1997-02-03|1999-11-16|Novellus Systems, Inc.|Microfeature wafer handling apparatus and methods| US5836483A|1997-02-05|1998-11-17|Aerotech Dental Systems, Inc.|Self-regulating fluid dispensing cap with safety pressure relief valve for dental/medical unit fluid bottles| US5893741A|1997-02-07|1999-04-13|National Science Council|Method for simultaneously forming local interconnect with silicided elevated source/drain MOSFET's| US20020174106A1|1997-02-10|2002-11-21|Actioneer, Inc.|Method and apparatus for receiving information in response to a request| US6035101A|1997-02-12|2000-03-07|Applied Materials, Inc.|High temperature multi-layered alloy heater assembly and related methods| JP3492135B2|1997-02-13|2004-02-03|三菱重工業株式会社|熱流束計| JPH10239165A|1997-02-27|1998-09-11|Sony Corp|基板の温度測定器、基板の温度を測定する方法および基板の加熱方法| US6461982B2|1997-02-27|2002-10-08|Micron Technology, Inc.|Methods for forming a dielectric film| US6096267A|1997-02-28|2000-08-01|Extraction Systems, Inc.|System for detecting base contaminants in air| JP2002517979A|1997-02-28|2002-06-18|エクストラクション・システムズ・インコーポレーテッド|気体におけるアミンおよび他の塩基性分子の汚染を検出するためのシステム| US5947718A|1997-03-07|1999-09-07|Semitool, Inc.|Semiconductor processing furnace| JP3124506B2|1997-03-14|2001-01-15|白光株式会社|ヒータ・センサ複合体| US5866795A|1997-03-17|1999-02-02|Applied Materials, Inc.|Liquid flow rate estimation and verification by direct liquid measurement| US6214122B1|1997-03-17|2001-04-10|Motorola, Inc.|Rapid thermal processing susceptor| US6287988B1|1997-03-18|2001-09-11|Kabushiki Kaisha Toshiba|Semiconductor device manufacturing method, semiconductor device manufacturing apparatus and semiconductor device| JPH10261620A|1997-03-19|1998-09-29|Hitachi Ltd|表面処理装置| US6891138B2|1997-04-04|2005-05-10|Robert C. Dalton|Electromagnetic susceptors with coatings for artificial dielectric systems and devices| US5865205A|1997-04-17|1999-02-02|Applied Materials, Inc.|Dynamic gas flow controller| JP3752578B2|1997-04-21|2006-03-08|株式会社フジキン|流体制御器用加熱装置| US6026762A|1997-04-23|2000-02-22|Applied Materials, Inc.|Apparatus for improved remote microwave plasma source for use with substrate processing systems| US6053983A|1997-05-08|2000-04-25|Tokyo Electron, Ltd.|Wafer for carrying semiconductor wafers and method detecting wafers on carrier| US5904170A|1997-05-14|1999-05-18|Applied Materials, Inc.|Pressure flow and concentration control of oxygen/ozone gas mixtures| JP3230051B2|1997-05-16|2001-11-19|東京エレクトロン株式会社|乾燥処理方法及びその装置| US6390754B2|1997-05-21|2002-05-21|Tokyo Electron Limited|Wafer processing apparatus, method of operating the same and wafer detecting system| JPH1144799A|1997-05-27|1999-02-16|Ushio Inc|光路分割型紫外線照射装置| US5937323A|1997-06-03|1999-08-10|Applied Materials, Inc.|Sequencing of the recipe steps for the optimal low-k HDP-CVD processing| US6201999B1|1997-06-09|2001-03-13|Applied Materials, Inc.|Method and apparatus for automatically generating schedules for wafer processing within a multichamber semiconductor wafer processing tool| US6104401A|1997-06-12|2000-08-15|Netscape Communications Corporation|Link filters| EP0887632A1|1997-06-24|1998-12-30|Isuzu Ceramics Research Institute Co., Ltd.|A ceramic thermocouple for measuring temperature of molten metal| US5968275A|1997-06-25|1999-10-19|Lam Research Corporation|Methods and apparatus for passivating a substrate in a plasma reactor| US6531193B2|1997-07-07|2003-03-11|The Penn State Research Foundation|Low temperature, high quality silicon dioxide thin films deposited using tetramethylsilane for stress control and coverage applications| US6576064B2|1997-07-10|2003-06-10|Sandia Corporation|Support apparatus for semiconductor wafer processing| US6312525B1|1997-07-11|2001-11-06|Applied Materials, Inc.|Modular architecture for semiconductor wafer fabrication equipment| US6024799A|1997-07-11|2000-02-15|Applied Materials, Inc.|Chemical vapor deposition manifold| US6083321A|1997-07-11|2000-07-04|Applied Materials, Inc.|Fluid delivery system and method| US5975492A|1997-07-14|1999-11-02|Brenes; Arthur|Bellows driver slot valve| US6099596A|1997-07-23|2000-08-08|Applied Materials, Inc.|Wafer out-of-pocket detection tool| US6020243A|1997-07-24|2000-02-01|Texas Instruments Incorporated|Zirconium and/or hafnium silicon-oxynitride gate dielectric| US6287965B1|1997-07-28|2001-09-11|Samsung Electronics Co, Ltd.|Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor| US5827420A|1997-07-29|1998-10-27|World Precision Instruments, Inc.|Method and apparatus for the generation of nitric oxide| US5884640A|1997-08-07|1999-03-23|Applied Materials, Inc.|Method and apparatus for drying substrates| US20030049372A1|1997-08-11|2003-03-13|Cook Robert C.|High rate deposition at low pressures in a small batch reactor| US6321680B2|1997-08-11|2001-11-27|Torrex Equipment Corporation|Vertical plasma enhanced process apparatus and method| US7393561B2|1997-08-11|2008-07-01|Applied Materials, Inc.|Method and apparatus for layer by layer deposition of thin films| JP3317209B2|1997-08-12|2002-08-26|東京エレクトロンエイ・ティー株式会社|プラズマ処理装置及びプラズマ処理方法| US6121158A|1997-08-13|2000-09-19|Sony Corporation|Method for hardening a photoresist material formed on a substrate| USD404370S|1997-08-20|1999-01-19|Tokyo Electron Limited|Cap for use in a semiconductor wafer heat processing apparatus| USD404372S|1997-08-20|1999-01-19|Tokyo Electron Limited|Ring for use in a semiconductor wafer heat processing apparatus| WO1999008805A1|1997-08-20|1999-02-25|Air Liquide Electronics Chemicals & Services, Inc.|Plasma cleaning and etching methods using non-global-warming compounds| KR100253664B1|1997-08-22|2000-04-15|이해광|폴리이미드 건조기의 작동 시스템| US5879459A|1997-08-29|1999-03-09|Genus, Inc.|Vertically-stacked process reactor and cluster tool system for atomic layer deposition| US6104011A|1997-09-04|2000-08-15|Watlow Electric Manufacturing Company|Sheathed thermocouple with internal coiled wires| AUPO904597A0|1997-09-08|1997-10-02|Canon Information Systems Research Australia Pty Ltd|Method for non-linear document conversion and printing| JP3581537B2|1997-09-24|2004-10-27|三菱重工業株式会社|高周波加熱コイルの設置間隙保持装置| US6161500A|1997-09-30|2000-12-19|Tokyo Electron Limited|Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions| WO1999018496A1|1997-10-07|1999-04-15|Electronics Development Corporation|Transducer assembly with smart connector| JPH11118615A|1997-10-09|1999-04-30|Kakunenryo Cycle Kaihatsu Kiko|伸縮性を有する被測定物用温度センサ| US6624064B1|1997-10-10|2003-09-23|Applied Materials, Inc.|Chamber seasoning method to improve adhesion of F-containing dielectric film to metal for VLSI application| US5908672A|1997-10-15|1999-06-01|Applied Materials, Inc.|Method and apparatus for depositing a planarized passivation layer| JP2001522141A|1997-11-03|2001-11-13|エーエスエムアメリカインコーポレイテッド|低質量サポートを用いたウェハの加工方法| DE69838484T2|1997-11-03|2008-06-26|Asm America Inc., Phoenix|Hochtemperatur-prozesskammer mit langer lebensdauer| DE69813014T2|1997-11-03|2004-02-12|Asm America Inc., Phoenix|Verbesserte kleinmassige waferhaleeinrichtung| JPH11140648A|1997-11-07|1999-05-25|Tokyo Electron Ltd|プロセスチャンバ装置及び処理装置| JP3050193B2|1997-11-12|2000-06-12|日本電気株式会社|半導体装置及びその製造方法| US6136211A|1997-11-12|2000-10-24|Applied Materials, Inc.|Self-cleaning etch process| GB9724168D0|1997-11-14|1998-01-14|Air Prod & Chem|Gas control device and method of supplying gas| KR100252049B1|1997-11-18|2000-04-15|윤종용|원자층 증착법에 의한 알루미늄층의 제조방법| US6068441A|1997-11-21|2000-05-30|Asm America, Inc.|Substrate transfer system for semiconductor processing equipment| US6574644B2|1997-11-26|2003-06-03|Siemens Corporate Research, Inc|Automatic capturing of hyperlink specifications for multimedia documents| JP2002504744A|1997-11-28|2002-02-12|マットソンテクノロジイインコーポレイテッド|真空処理を行う非加工物を、低汚染かつ高処理能力で取扱うためのシステムおよび方法| WO1999028952A2|1997-11-28|1999-06-10|Fortrend Engineering Corporation|Wafer-mapping load port interface| US6079356A|1997-12-02|2000-06-27|Applied Materials, Inc.|Reactor optimized for chemical vapor deposition of titanium| US6106625A|1997-12-02|2000-08-22|Applied Materials, Inc.|Reactor useful for chemical vapor deposition of titanium nitride| KR100295043B1|1997-12-03|2001-10-19|윤종용|저유전상수절연막을층간절연막으로사용하는반도체장치의금속막형성방법| JPH11319545A|1997-12-15|1999-11-24|Canon Inc|プラズマ処理方法及び基体の処理方法| US6248168B1|1997-12-15|2001-06-19|Tokyo Electron Limited|Spin coating apparatus including aging unit and solvent replacement unit| JPH11183264A|1997-12-16|1999-07-09|Tokyo Yogyo Co Ltd|熱電対をもつ温度測定器| JPH11183265A|1997-12-16|1999-07-09|Tokyo Yogyo Co Ltd|熱電対をもつ温度測定器| JPH11195688A|1997-12-26|1999-07-21|Mc Electronics Kk|基板処理装置| KR100249391B1|1997-12-30|2000-03-15|김영환|가열장치| USD409894S|1997-12-30|1999-05-18|Mcclurg Ben B|Sheet rock plug| KR100269328B1|1997-12-31|2000-10-16|윤종용|원자층 증착 공정을 이용하는 도전층 형성방법| JP3314151B2|1998-01-05|2002-08-12|株式会社日立国際電気|プラズマcvd装置及び半導体装置の製造方法| EP1049641A4|1998-01-16|2004-10-13|Pri Automation Inc|CASSETTE POSITIONING AND DETECTION SYSTEM FOR SEMICONDUCTOR WAFER| US6091062A|1998-01-27|2000-07-18|Kinetrix, Inc.|Method and apparatus for temperature control of a semiconductor electrical-test contractor assembly| US6125789A|1998-01-30|2000-10-03|Applied Materials, Inc.|Increasing the sensitivity of an in-situ particle monitor| TWI237305B|1998-02-04|2005-08-01|Nikon Corp|Exposure apparatus and positioning apparatus of substrate receiving cassette| US6383955B1|1998-02-05|2002-05-07|Asm Japan K.K.|Silicone polymer insulation film on semiconductor substrate and method for forming the film| US7582575B2|1998-02-05|2009-09-01|Asm Japan K.K.|Method for forming insulation film| TW437017B|1998-02-05|2001-05-28|Asm Japan Kk|Silicone polymer insulation film on semiconductor substrate and method for formation thereof| US7354873B2|1998-02-05|2008-04-08|Asm Japan K.K.|Method for forming insulation film| US6800571B2|1998-09-29|2004-10-05|Applied Materials Inc.|CVD plasma assisted low dielectric constant films| US6303523B2|1998-02-11|2001-10-16|Applied Materials, Inc.|Plasma processes for depositing low dielectric constant films| US6413583B1|1998-02-11|2002-07-02|Applied Materials, Inc.|Formation of a liquid-like silica layer by reaction of an organosilicon compound and a hydroxyl forming compound| US6050506A|1998-02-13|2000-04-18|Applied Materials, Inc.|Pattern of apertures in a showerhead for chemical vapor deposition| US6072163A|1998-03-05|2000-06-06|Fsi International Inc.|Combination bake/chill apparatus incorporating low thermal mass, thermally conductive bakeplate| US7217325B2|1999-01-22|2007-05-15|Semitool, Inc.|System for processing a workpiece| US7181501B2|1998-03-19|2007-02-20|Isochron, Inc.|Remote data acquisition, transmission and analysis system including handheld wireless equipment| JP3656701B2|1998-03-23|2005-06-08|東京エレクトロン株式会社|処理装置| JPH11287715A|1998-04-02|1999-10-19|Canon Inc|熱電対| SE9801190D0|1998-04-06|1998-04-06|Abb Research Ltd|A method and a device for epitaxial growth of objects by Chemical Vapour Deposition| US6015465A|1998-04-08|2000-01-18|Applied Materials, Inc.|Temperature control system for semiconductor process chamber| KR100265287B1|1998-04-21|2000-10-02|윤종용|반도체소자 제조용 식각설비의 멀티챔버 시스템| US6079927A|1998-04-22|2000-06-27|Varian Semiconductor Equipment Associates, Inc.|Automated wafer buffer for use with wafer processing equipment| US6120008A|1998-04-28|2000-09-19|Life International Products, Inc.|Oxygenating apparatus, method for oxygenating a liquid therewith, and applications thereof| KR100376983B1|1998-04-30|2003-08-02|주식회사 하이닉스반도체|포토레지스트중합체및이를이용한미세패턴의형성방법| KR100376984B1|1998-04-30|2003-07-16|주식회사 하이닉스반도체|포토레지스트중합체및이를이용한미세패턴의형성방법| US6126848A|1998-05-06|2000-10-03|International Business Machines Corporation|Indirect endpoint detection by chemical reaction and chemiluminescence| US6060721A|1998-05-06|2000-05-09|Taiwan Semiconductor Manufacturing Co., Ltd|Apparatus for detecting correct positioning of a wafer cassette| US6287435B1|1998-05-06|2001-09-11|Tokyo Electron Limited|Method and apparatus for ionized physical vapor deposition| US6218288B1|1998-05-11|2001-04-17|Micron Technology, Inc.|Multiple step methods for forming conformal layers| EP1093664A4|1998-05-11|2003-07-09|Semitool Inc|TEMPERATURE CONTROL SYSTEM FOR THERMAL ACTUATOR| KR100309918B1|1998-05-16|2001-12-17|윤종용|광시야각액정표시장치및그제조방법| US6284050B1|1998-05-18|2001-09-04|Novellus Systems, Inc.|UV exposure for improving properties and adhesion of dielectric polymer films formed by chemical vapor deposition| JP3208376B2|1998-05-20|2001-09-10|株式会社半導体プロセス研究所|成膜方法及び半導体装置の製造方法| JPH11343571A|1998-05-29|1999-12-14|Ngk Insulators Ltd|サセプター| NL1009327C2|1998-06-05|1999-12-10|Asm Int|Werkwijze en inrichting voor het overbrengen van wafers.| JPH11354637A|1998-06-11|1999-12-24|Oki Electric Ind Co Ltd|配線の接続構造及び配線の接続部の形成方法| US6148761A|1998-06-16|2000-11-21|Applied Materials, Inc.|Dual channel gas distribution plate| US6086677A|1998-06-16|2000-07-11|Applied Materials, Inc.|Dual gas faceplate for a showerhead in a semiconductor wafer processing system| US6302964B1|1998-06-16|2001-10-16|Applied Materials, Inc.|One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system| JP2963443B1|1998-06-19|1999-10-18|キヤノン販売株式会社|半導体装置の製造装置| KR20000002833A|1998-06-23|2000-01-15|윤종용|반도체 웨이퍼 보트| USD412512S|1998-06-24|1999-08-03|Marc H Boisvert|Tool holding device| JP3333135B2|1998-06-25|2002-10-07|東京エレクトロン株式会社|熱処理装置及び熱処理方法| US6015459A|1998-06-26|2000-01-18|Extreme Devices, Inc.|Method for doping semiconductor materials| JP3472482B2|1998-06-30|2003-12-02|富士通株式会社|半導体装置の製造方法と製造装置| US6232248B1|1998-07-03|2001-05-15|Tokyo Electron Limited|Single-substrate-heat-processing method for performing reformation and crystallization| US6182603B1|1998-07-13|2001-02-06|Applied Komatsu Technology, Inc.|Surface-treated shower head for use in a substrate processing chamber| JP2000040728A|1998-07-22|2000-02-08|Nippon Asm Kk|ウェハ搬送機構| US20010001384A1|1998-07-29|2001-05-24|Takeshi Arai|Silicon epitaxial wafer and production method therefor| US6344232B1|1998-07-30|2002-02-05|The United States Of America As Represented By The Secretary Of The Air Force|Computer controlled temperature and oxygen maintenance for fiber coating CVD| USD412270S|1998-08-10|1999-07-27|David Frank Fredrickson|Article lifter| US6569971B2|1998-08-27|2003-05-27|Hyundai Electronics Industries Co., Ltd.|Polymers for photoresist and photoresist compositions using the same| US6427622B2|1998-08-28|2002-08-06|Mv Systems, Inc.|Hot wire chemical vapor deposition method and apparatus using graphite hot rods| US6323081B1|1998-09-03|2001-11-27|Micron Technology, Inc.|Diffusion barrier layers and methods of forming same| US6190732B1|1998-09-03|2001-02-20|Cvc Products, Inc.|Method and system for dispensing process gas for fabricating a device on a substrate| WO2000015884A1|1998-09-11|2000-03-23|Japan Science And Technology Corporation|Dispositif combinatoire d'épitaxie de couche moléculaire| US6203969B1|1998-09-14|2001-03-20|Tokyo Electron Limited|Resist processing apparatus which measures temperature of heat-sensing substrate and measuring method therein| US6187672B1|1998-09-22|2001-02-13|Conexant Systems, Inc.|Interconnect with low dielectric constant insulators for semiconductor integrated circuit manufacturing| KR100646906B1|1998-09-22|2006-11-17|동경 엘렉트론 주식회사|기판처리장치 및 기판처리방법| US6143082A|1998-10-08|2000-11-07|Novellus Systems, Inc.|Isolation of incompatible processes in a multi-station processing chamber| US6257758B1|1998-10-09|2001-07-10|Claud S. Gordon Company|Surface temperature sensor| USD451893S1|1998-10-15|2001-12-11|Meto International Gmbh|Arrangement of aluminum foil coils forming an inductor of a resonant frequency identification element| US6462671B2|1998-10-20|2002-10-08|Brendyl Trent Bushner|Remote securities based data reception and order system| US6454860B2|1998-10-27|2002-09-24|Applied Materials, Inc.|Deposition reactor having vaporizing, mixing and cleaning capabilities| US20030101938A1|1998-10-27|2003-06-05|Applied Materials, Inc.|Apparatus for the deposition of high dielectric constant films| KR100317238B1|1998-11-03|2002-02-19|윤종용|가열로 온도검출용 스파이크 열전대 소자_| US6423613B1|1998-11-10|2002-07-23|Micron Technology, Inc.|Low temperature silicon wafer bond process with bulk material bond strength| JP2000150617A|1998-11-17|2000-05-30|Tokyo Electron Ltd|搬送装置| JP3664897B2|1998-11-18|2005-06-29|東京エレクトロン株式会社|縦型熱処理装置| GB2344104B|1998-11-27|2004-04-07|Hyundai Electronics Ind|Photoresist composition comprising a cross-linker| JP2000174123A|1998-12-09|2000-06-23|Nec Corp|半導体装置及びその製造方法| US6310328B1|1998-12-10|2001-10-30|Mattson Technologies, Inc.|Rapid thermal processing chamber for processing multiple wafers| JP2000183346A|1998-12-15|2000-06-30|Toshiba Corp|半導体装置及びその製造方法| US6129954A|1998-12-22|2000-10-10|General Electric Company|Method for thermally spraying crack-free mullite coatings on ceramic-based substrates| US6607948B1|1998-12-24|2003-08-19|Kabushiki Kaisha Toshiba|Method of manufacturing a substrate using an SiGe layer| US6496819B1|1998-12-28|2002-12-17|Oracle Corporation|Rewriting a query in terms of a summary based on functional dependencies and join backs, and based on join derivability| KR100281094B1|1998-12-30|2001-02-01|서평원|이동 통신 시스템에서 셀 탐색 방법| US6137240A|1998-12-31|2000-10-24|Lumion Corporation|Universal ballast control circuit| KR100331544B1|1999-01-18|2002-04-06|윤종용|반응챔버에 가스를 유입하는 방법 및 이에 사용되는 샤워헤드| JP3119641B2|1999-01-19|2000-12-25|九州日本電気株式会社|縦型熱処理装置| TW455912B|1999-01-22|2001-09-21|Sony Corp|Method and apparatus for film deposition| JP2987148B1|1999-01-26|1999-12-06|国際電気株式会社|基板処理装置| US6044860A|1999-02-01|2000-04-04|Spx Corporation|Adjustable lockout device for knife gate valves| US6374831B1|1999-02-04|2002-04-23|Applied Materials, Inc.|Accelerated plasma clean| US6281141B1|1999-02-08|2001-08-28|Steag Rtp Systems, Inc.|Process for forming thin dielectric layers in semiconductor devices| IT1308606B1|1999-02-12|2002-01-08|Lpe Spa|Dispositivo per maneggiare substrati mediante un istema autolivellante a depressione in reattori epistassiali ad induzione con suscettore| DE10080457T1|1999-02-12|2001-04-26|Gelest Inc|CVD-Abscheidung von Wolframnitrid| US6190037B1|1999-02-19|2001-02-20|Applied Materials, Inc.|Non-intrusive, on-the-fly temperature measurement and monitoring system| US6426125B1|1999-03-17|2002-07-30|General Electric Company|Multilayer article and method of making by ARC plasma deposition| US6250250B1|1999-03-18|2001-06-26|Yuri Maishev|Multiple-cell source of uniform plasma| JP3250154B2|1999-03-31|2002-01-28|株式会社スーパーシリコン研究所|半導体ウエハ製造装置| JP3398936B2|1999-04-09|2003-04-21|日本エー・エス・エム株式会社|半導体処理装置| US6264467B1|1999-04-14|2001-07-24|Applied Materials, Inc.|Micro grooved support surface for reducing substrate wear and slip formation| US6326597B1|1999-04-15|2001-12-04|Applied Materials, Inc.|Temperature control system for process chamber| US7588720B2|1999-04-30|2009-09-15|Tso3, Inc.|Method and apparatus for ozone sterilization| JP3965258B2|1999-04-30|2007-08-29|日本碍子株式会社|半導体製造装置用のセラミックス製ガス供給構造| KR100347379B1|1999-05-01|2002-08-07|주식회사 피케이엘|복수매 기판의 박막 증착 공정이 가능한 원자층 증착장치| JP3072989B1|1999-05-14|2000-08-07|日本エー・エス・エム株式会社|半導体基板上に薄膜を形成する成膜装置における成膜方法| JP2000329447A|1999-05-17|2000-11-30|Matsushita Refrig Co Ltd|冷蔵庫および除霜用ヒーター| JP4294791B2|1999-05-17|2009-07-15|アプライドマテリアルズインコーポレイテッド|半導体製造装置| US6423949B1|1999-05-19|2002-07-23|Applied Materials, Inc.|Multi-zone resistive heater| US6617553B2|1999-05-19|2003-09-09|Applied Materials, Inc.|Multi-zone resistive heater| US6119710A|1999-05-26|2000-09-19|Cyber Instrument Technologies Llc|Method for wide range gas flow system with real time flow measurement and correction| AU5448200A|1999-05-27|2000-12-18|Matrix Integrated Systems, Inc.|Rapid heating and cooling of workpiece chucks| KR100495551B1|1999-05-28|2005-06-16|동경 엘렉트론 주식회사|반도체 처리 시스템의 오존 처리 장치| US20020033183A1|1999-05-29|2002-03-21|Sheng Sun|Method and apparatus for enhanced chamber cleaning| JP3668079B2|1999-05-31|2005-07-06|忠弘 大見|プラズマプロセス装置| JP3940546B2|1999-06-07|2007-07-04|株式会社東芝|パターン形成方法およびパターン形成材料| US6656281B1|1999-06-09|2003-12-02|Tokyo Electron Limited|Substrate processing apparatus and substrate processing method| US6555183B2|1999-06-11|2003-04-29|Applied Materials, Inc.|Plasma treatment of a titanium nitride film formed by chemical vapor deposition| US6548402B2|1999-06-11|2003-04-15|Applied Materials, Inc.|Method of depositing a thick titanium nitride film| US6281098B1|1999-06-15|2001-08-28|Midwest Research Institute|Process for Polycrystalline film silicon growth| US6821571B2|1999-06-18|2004-11-23|Applied Materials Inc.|Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers| US6812157B1|1999-06-24|2004-11-02|Prasad Narhar Gadgil|Apparatus for atomic layer chemical vapor deposition| FR2795745B1|1999-06-30|2001-08-03|Saint Gobain Vitrage|Procede de depot d'une couche a base de tungstene et/ou de molybdene sur un substrat verrier, ceramique ou vitroceramique, et substrat ainsi revetu| JP3252835B2|1999-07-02|2002-02-04|松下電器産業株式会社|半導体装置およびその製造方法| JP2001023955A|1999-07-07|2001-01-26|Mitsubishi Electric Corp|プラズマ処理装置| US6238734B1|1999-07-08|2001-05-29|Air Products And Chemicals, Inc.|Liquid precursor mixtures for deposition of multicomponent metal containing materials| JP2001023872A|1999-07-09|2001-01-26|Hitachi Ltd|半導体基板処理装置| US6368988B1|1999-07-16|2002-04-09|Micron Technology, Inc.|Combined gate cap or digit line and spacer deposition using HDP| JP3701148B2|1999-07-28|2005-09-28|株式会社日立製作所|コンテンツの配信方法| US6867859B1|1999-08-03|2005-03-15|Lightwind Corporation|Inductively coupled plasma spectrometer for process diagnostics and control| EP1077274A1|1999-08-17|2001-02-21|Applied Materials, Inc.|Lid cooling mechanism and method for optimized deposition of low-k dielectric using tri methylsilane-ozone based processes| KR100557594B1|1999-08-17|2006-03-10|주식회사 하이닉스반도체|노광후 지연 안정성을 갖는 신규의 포토레지스트용 단량체, 그의 공중합체 및 이를 함유한 포토레지스트 조성물| EP1077479A1|1999-08-17|2001-02-21|Applied Materials, Inc.|Post-deposition treatment to enchance properties of Si-O-C low K film| AT418158T|1999-08-17|2009-01-15|Applied Materials Inc|Oberflächenbehandlung von kohlenstoffdotierten sio2-filmen zur erhöhung der stabilität während der o2-veraschung| US6579833B1|1999-09-01|2003-06-17|The Board Of Trustees Of The University Of Illinois|Process for converting a metal carbide to carbon by etching in halogens| JP2001077088A|1999-09-02|2001-03-23|Tokyo Electron Ltd|プラズマ処理装置| US6645345B2|1999-09-02|2003-11-11|Micron Technology, Inc.|Wafer planarization using a uniform layer of material and method and apparatus for forming uniform layer of material used in semiconductor processing| US6238636B1|1999-09-03|2001-05-29|Air Liquide America Corporation|Process and systems for purification of boron trichloride| US6511539B1|1999-09-08|2003-01-28|Asm America, Inc.|Apparatus and method for growth of a thin film| US7894474B1|1999-09-10|2011-02-22|Koninklijke Philips Electronics N.V.|Remote control of an electronic device through downloading of a control interface of the electronic device in a mobile station| US6355153B1|1999-09-17|2002-03-12|Nutool, Inc.|Chip interconnect and packaging deposition methods and structures| US6420792B1|1999-09-24|2002-07-16|Texas Instruments Incorporated|Semiconductor wafer edge marking| US6293700B1|1999-09-24|2001-09-25|Fluke Corporation|Calibrated isothermal assembly for a thermocouple thermometer| US6740853B1|1999-09-29|2004-05-25|Tokyo Electron Limited|Multi-zone resistance heater| US6296710B1|1999-10-06|2001-10-02|Advanced Micro Devices, Inc.|Multi-port gas injector for a vertical furnace used in semiconductor processing| US7010580B1|1999-10-08|2006-03-07|Agile Software Corp.|Method and apparatus for exchanging data in a platform independent manner| US6503758B1|1999-10-12|2003-01-07|President & Fellows Of Harvard College|Systems and methods for measuring nitrate levels| MXPA02003794A|1999-10-13|2002-12-13|Texaco Development Corp|Tubo protector de termopar reforzado con zafiro.| US6475276B1|1999-10-15|2002-11-05|Asm Microchemistry Oy|Production of elemental thin films using a boron-containing reducing agent| US6203613B1|1999-10-19|2001-03-20|International Business Machines Corporation|Atomic layer deposition with nitrate containing precursors| US6287913B1|1999-10-26|2001-09-11|International Business Machines Corporation|Double polysilicon process for providing single chip high performance logic and compact embedded memory structure| KR100340716B1|1999-10-29|2002-06-20|윤종용|실리콘 질화막 형성방법| KR20010045418A|1999-11-05|2001-06-05|박종섭|신규한 포토레지스트 단량체, 그의 중합체 및 이를함유하는 포토레지스트 조성물| JP3551867B2|1999-11-09|2004-08-11|信越化学工業株式会社|シリコンフォーカスリング及びその製造方法| KR100547248B1|1999-11-12|2006-02-01|주식회사 하이닉스반도체|알루미나를 사용한 반도체 소자의 게이트 절연막 형성방법| JP2003053688A|2001-05-15|2003-02-26|Fanuc Robotics North America Inc|教示ペンダントを有するロボット・システム| JP4209057B2|1999-12-01|2009-01-14|東京エレクトロン株式会社|セラミックスヒーターならびにそれを用いた基板処理装置および基板処理方法| KR100369324B1|1999-12-02|2003-01-24|한국전자통신연구원|평면형 마이크로 공동구조 제조 방법| FI118804B|1999-12-03|2008-03-31|Asm Int|Menetelmä oksidikalvojen kasvattamiseksi| EP1107512A1|1999-12-03|2001-06-13|Sony International GmbH|Communication device and software for operating multimedia applications| KR100385946B1|1999-12-08|2003-06-02|삼성전자주식회사|원자층 증착법을 이용한 금속층 형성방법 및 그 금속층을장벽금속층, 커패시터의 상부전극, 또는 하부전극으로구비한 반도체 소자| US6589352B1|1999-12-10|2003-07-08|Applied Materials, Inc.|Self aligning non contact shadow ring process kit| JP3810604B2|1999-12-21|2006-08-16|Smc株式会社|ゲートバルブ| JP2001176952A|1999-12-21|2001-06-29|Toshiba Mach Co Ltd|ウェーハ位置ずれ検出装置| US6673198B1|1999-12-22|2004-01-06|Lam Research Corporation|Semiconductor processing equipment having improved process drift control| WO2001050349A1|1999-12-30|2001-07-12|Rutgers, The State University Of New Jersey|Electronic document customization and transformation utilizing user feedback| US6335049B1|2000-01-03|2002-01-01|Micron Technology, Inc.|Chemical vapor deposition methods of forming a high K dielectric layer and methods of forming a capacitor| US6576062B2|2000-01-06|2003-06-10|Tokyo Electron Limited|Film forming apparatus and film forming method| US6541367B1|2000-01-18|2003-04-01|Applied Materials, Inc.|Very low dielectric constant plasma-enhanced CVD films| KR100767762B1|2000-01-18|2007-10-17|에이에스엠 저펜 가부시기가이샤|자가 세정을 위한 원격 플라즈마 소스를 구비한 cvd 반도체 공정장치| JP2001203211A|2000-01-20|2001-07-27|Hitachi Kokusai Electric Inc|水素アニール処理方法及びその装置| TW473792B|2000-01-20|2002-01-21|Ngk Insulators Ltd|Electrostatic chuck| JP2001207265A|2000-01-27|2001-07-31|Kubota Corp|成膜装置| JP2001207268A|2000-01-27|2001-07-31|Kubota Corp|成膜装置| JP4384770B2|2000-01-27|2009-12-16|株式会社日立国際電気|基板処理装置| US6475930B1|2000-01-31|2002-11-05|Motorola, Inc.|UV cure process and tool for low k film formation| US6191399B1|2000-02-01|2001-02-20|Asm America, Inc.|System of controlling the temperature of a processing chamber| US6436819B1|2000-02-01|2002-08-20|Applied Materials, Inc.|Nitrogen treatment of a metal nitride/metal stack| JP4174941B2|2000-02-03|2008-11-05|株式会社デンソー|薄膜製造方法及び薄膜製造装置| TW488010B|2000-02-04|2002-05-21|Kobe Steel Ltd|Chamber member made of aluminum alloy and heater block| US6372583B1|2000-02-09|2002-04-16|Intel Corporation|Process for making semiconductor device with epitaxially grown source and drain| US6407435B1|2000-02-11|2002-06-18|Sharp Laboratories Of America, Inc.|Multilayer dielectric stack and method| US20020009119A1|2000-02-11|2002-01-24|Matthew William T.|Environmental heat stress monitor| US6573030B1|2000-02-17|2003-06-03|Applied Materials, Inc.|Method for depositing an amorphous carbon layer| KR100520188B1|2000-02-18|2005-10-10|주식회사 하이닉스반도체|부분적으로 가교화된 2층 포토레지스트용 중합체| TW476996B|2000-02-28|2002-02-21|Mitsubishi Material Silicon|Semiconductor manufacturing method and semiconductor manufacturing apparatus| US6846711B2|2000-03-02|2005-01-25|Tokyo Electron Limited|Method of making a metal oxide capacitor, including a barrier film| DE60125338T2|2000-03-07|2007-07-05|Asm International N.V.|Gradierte dünne schichten| US7419903B2|2000-03-07|2008-09-02|Asm International N.V.|Thin films| JP4054159B2|2000-03-08|2008-02-27|東京エレクトロン株式会社|基板処理方法及びその装置| AU4351601A|2000-03-09|2001-09-17|Semix Inc|Wafer processing apparatus and method| US6853920B2|2000-03-10|2005-02-08|Smiths Detection-Pasadena, Inc.|Control for an industrial process using one or more multidimensional variables| JP2001332609A|2000-03-13|2001-11-30|Nikon Corp|基板保持装置及び露光装置| US6506009B1|2000-03-16|2003-01-14|Applied Materials, Inc.|Apparatus for storing and moving a cassette| US6759098B2|2000-03-20|2004-07-06|Axcelis Technologies, Inc.|Plasma curing of MSQ-based porous low-k film materials| US6576300B1|2000-03-20|2003-06-10|Dow Corning Corporation|High modulus, low dielectric constant coatings| US6913796B2|2000-03-20|2005-07-05|Axcelis Technologies, Inc.|Plasma curing process for porous low-k materials| US6558755B2|2000-03-20|2003-05-06|Dow Corning Corporation|Plasma curing process for porous silica thin film| US6598559B1|2000-03-24|2003-07-29|Applied Materials, Inc.|Temperature controlled chamber| AT412302B|2000-03-28|2004-12-27|Hoerbiger Ventilwerke Gmbh|Selbsttätiges ventil| JP3676983B2|2000-03-29|2005-07-27|株式会社日立国際電気|半導体製造方法、基板処理方法、及び半導体製造装置| WO2001075188A2|2000-03-30|2001-10-11|Tokyo Electron Limited|Method of and apparatus for gas injection| JP2001342570A|2000-03-30|2001-12-14|Hitachi Kokusai Electric Inc|半導体装置の製造方法および半導体製造装置| JP2001345263A|2000-03-31|2001-12-14|Nikon Corp|露光装置及び露光方法、並びにデバイス製造方法| JP4281208B2|2000-04-04|2009-06-17|ソニー株式会社|ロボット遠隔制御システム| WO2001078115A2|2000-04-06|2001-10-18|Asm America, Inc.|Barrier coating for vitreous materials| FI117979B|2000-04-14|2007-05-15|Asm Int|Menetelmä oksidiohutkalvojen valmistamiseksi| US6641350B2|2000-04-17|2003-11-04|Hitachi Kokusai Electric Inc.|Dual loading port semiconductor processing equipment| JP4813737B2|2000-04-17|2011-11-09|マットソンテクノロジーインコーポレイテッド|窒化ケイ素フィルムを形成するための超薄オキシニトリドのuv前処理法| US6984591B1|2000-04-20|2006-01-10|International Business Machines Corporation|Precursor source mixtures| US6329297B1|2000-04-21|2001-12-11|Applied Materials, Inc.|Dilute remote plasma clean| US6635117B1|2000-04-26|2003-10-21|Axcelis Technologies, Inc.|Actively-cooled distribution plate for reducing reactive gas temperature in a plasma processing system| US6502530B1|2000-04-26|2003-01-07|Unaxis Balzers Aktiengesellschaft|Design of gas injection for the electrode in a capacitively coupled RF plasma reactor| US6387207B1|2000-04-28|2002-05-14|Applied Materials, Inc.|Integration of remote plasma generator with semiconductor processing chamber| US7141768B2|2000-04-28|2006-11-28|Nexicor, Llc|Fastening device| KR100367662B1|2000-05-02|2003-01-10|주식회사 셈테크놀러지|하이퍼서멀 중성입자 발생 장치 및 이를 채용하는 중성입자 처리 장치| DE10021871A1|2000-05-05|2001-11-15|Infineon Technologies Ag|Verfahren zum Herstellen einer Barriereschicht in einem elektronischen Bauelement und Verfahren zum Herstellen eines elektronischen Bauelements mit einer Barriereschicht| JP2001319921A|2000-05-09|2001-11-16|Canon Inc|プロセスチャンバ| US20020195056A1|2000-05-12|2002-12-26|Gurtej Sandhu|Versatile atomic layer deposition apparatus| JP4449226B2|2000-05-22|2010-04-14|東京エレクトロン株式会社|金属酸化膜の改質方法、金属酸化膜の成膜方法及び熱処理装置| JP3448737B2|2000-05-25|2003-09-22|住友重機械工業株式会社|ウエハーチャック用冷却板及びウエハーチャック| US6559026B1|2000-05-25|2003-05-06|Applied Materials, Inc|Trench fill with HDP-CVD process including coupled high power density plasma deposition| US6558517B2|2000-05-26|2003-05-06|Micron Technology, Inc.|Physical vapor deposition methods| TW578214B|2000-05-29|2004-03-01|Tokyo Electron Ltd|Method of forming oxynitride film or the like and system for carrying out the same| KR100783841B1|2000-05-31|2007-12-10|동경 엘렉트론 주식회사|열처리 시스템| JP3687651B2|2000-06-08|2005-08-24|ジニテックインク.|薄膜形成方法| USD455024S1|2000-06-09|2002-04-02|Levenger Company|Portable writing surface| KR100406173B1|2000-06-13|2003-11-19|주식회사 하이닉스반도체|촉매 분사 수단을 구비한 히터 블록| US6863019B2|2000-06-13|2005-03-08|Applied Materials, Inc.|Semiconductor device fabrication chamber cleaning method and apparatus with recirculation of cleaning gas| JP2004503934A|2000-06-15|2004-02-05|コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ|基板カセットのホルダー及び該ホルダーを備えたデバイス| US6461435B1|2000-06-22|2002-10-08|Applied Materials, Inc.|Showerhead with reduced contact area| US6346419B1|2000-06-26|2002-02-12|The United States Of America As Represented By The Department Of Commerce|Photolysis system for fast-response NO2 measurements and method therefor| US6620723B1|2000-06-27|2003-09-16|Applied Materials, Inc.|Formation of boride barrier layers using chemisorption techniques| KR100546138B1|2000-06-30|2006-01-24|주식회사 하이닉스반도체|신규한 포토레지스트 단량체, 그의 중합체 및 이를함유하는 포토레지스트 조성물| KR100467366B1|2000-06-30|2005-01-24|주식회사 하이닉스반도체|원자층 증착법을 이용한 지르코늄산화막 형성방법| US6632322B1|2000-06-30|2003-10-14|Lam Research Corporation|Switched uniformity control| US6874480B1|2000-07-03|2005-04-05|Combustion Dynamics Corp.|Flow meter| JP3497450B2|2000-07-06|2004-02-16|東京エレクトロン株式会社|バッチ式熱処理装置及びその制御方法| JP2002164342A|2000-07-21|2002-06-07|Canon Sales Co Inc|半導体装置及びその製造方法| WO2002008487A1|2000-07-24|2002-01-31|The University Of Maryland, College Park|Spatially programmable microelectronics process equipment using segmented gas injection showerhead with exhaust gas recirculation| US6450117B1|2000-08-07|2002-09-17|Applied Materials, Inc.|Directing a flow of gas in a substrate processing chamber| US6712929B1|2000-08-08|2004-03-30|Lam Research Corporation|Deformation reduction at the main chamber| US7166524B2|2000-08-11|2007-01-23|Applied Materials, Inc.|Method for ion implanting insulator material to reduce dielectric constant| US7223676B2|2002-06-05|2007-05-29|Applied Materials, Inc.|Very low temperature CVD process with independently variable conformality, stress and composition of the CVD layer| US20020136214A1|2000-08-14|2002-09-26|Consumer Direct Link|Pervasive computing network architecture| CN100431110C|2000-08-18|2008-11-05|东京毅力科创株式会社|低介电氮化硅膜的形成方法和半导体器件及其制造工艺| JP4150493B2|2000-08-22|2008-09-17|株式会社東芝|パターン描画装置における温度測定方法| JP4365017B2|2000-08-23|2009-11-18|東京エレクトロン株式会社|熱処理装置の降温レート制御方法および熱処理装置| US6566278B1|2000-08-24|2003-05-20|Applied Materials Inc.|Method for densification of CVD carbon-doped silicon oxide films through UV irradiation| US6878906B2|2000-08-30|2005-04-12|Ibiden Co., Ltd.|Ceramic heater for semiconductor manufacturing and inspecting equipment| US6494998B1|2000-08-30|2002-12-17|Tokyo Electron Limited|Process apparatus and method for improving plasma distribution and performance in an inductively coupled plasma using an internal inductive element| US6784108B1|2000-08-31|2004-08-31|Micron Technology, Inc.|Gas pulsing for etch profile control| KR20020019414A|2000-09-05|2002-03-12|엔도 마코토|기판 처리 장치 및 기판 처리 장치를 이용한 반도체디바이스 제조 방법| USD449873S1|2000-09-22|2001-10-30|James Bronson|Garbage disposal strainer and splash guard| JP4232330B2|2000-09-22|2009-03-04|東京エレクトロン株式会社|励起ガス形成装置、処理装置及び処理方法| JP3929261B2|2000-09-25|2007-06-13|株式会社日立国際電気|基板処理装置および基板処理方法| US6494065B2|2000-09-26|2002-12-17|Babbitt Steam Specialty Company|Valve lockout/tag out system| US6632068B2|2000-09-27|2003-10-14|Asm International N.V.|Wafer handling system| US6492625B1|2000-09-27|2002-12-10|Emcore Corporation|Apparatus and method for controlling temperature uniformity of substrates| AU146326S|2000-09-29|2001-12-18|American Standard Int Inc|Faucet| US6370796B1|2000-09-29|2002-04-16|Sony Corporation|Heater block cooling system for wafer processing apparatus| US6578893B2|2000-10-02|2003-06-17|Ajs Automation, Inc.|Apparatus and methods for handling semiconductor wafers| US6745095B1|2000-10-04|2004-06-01|Applied Materials, Inc.|Detection of process endpoint through monitoring fluctuation of output data| JP2002110570A|2000-10-04|2002-04-12|Asm Japan Kk|半導体製造装置用ガスラインシステム| US6660660B2|2000-10-10|2003-12-09|Asm International, Nv.|Methods for making a dielectric stack in an integrated circuit| US7204887B2|2000-10-16|2007-04-17|Nippon Steel Corporation|Wafer holding, wafer support member, wafer boat and heat treatment furnace| JP4156788B2|2000-10-23|2008-09-24|日本碍子株式会社|半導体製造装置用サセプター| FI118014B|2000-10-23|2007-05-31|Asm Int|Menetelmä alumiinioksidiohutkalvojen valmistamiseksi matalissa lämpötiloissa| US6395650B1|2000-10-23|2002-05-28|International Business Machines Corporation|Methods for forming metal oxide layers with enhanced purity| US6824665B2|2000-10-25|2004-11-30|Shipley Company, L.L.C.|Seed layer deposition| US6688784B1|2000-10-25|2004-02-10|Advanced Micro Devices, Inc.|Parallel plate development with multiple holes in top plate for control of developer flow and pressure| US6445574B1|2000-10-30|2002-09-03|Motorola, Inc.|Electronic device| US6498091B1|2000-11-01|2002-12-24|Applied Materials, Inc.|Method of using a barrier sputter reactor to remove an underlying barrier layer| US7032614B2|2000-11-03|2006-04-25|Applied Materials, Inc.|Facilities connection box for pre-facilitation of wafer fabrication equipment| US6649540B2|2000-11-09|2003-11-18|The Boc Group, Inc.|Organosilane CVD precursors and their use for making organosilane polymer low-k dielectric film| JP2002158178A|2000-11-21|2002-05-31|Hitachi Kokusai Electric Inc|基板処理装置および半導体装置の製造方法| US6689220B1|2000-11-22|2004-02-10|Simplus Systems Corporation|Plasma enhanced pulsed layer deposition| US6613695B2|2000-11-24|2003-09-02|Asm America, Inc.|Surface preparation prior to deposition| US20020064592A1|2000-11-29|2002-05-30|Madhav Datta|Electroless method of seed layer depostion, repair, and fabrication of Cu interconnects| JP3610900B2|2000-11-30|2005-01-19|東京エレクトロン株式会社|熱処理装置| KR100688484B1|2000-11-30|2007-02-28|삼성전자주식회사|활성화 산소를 이용하여 기판을 처리하는 장치 및 그 방법| US20020069222A1|2000-12-01|2002-06-06|Wiznet, Inc.|System and method for placing active tags in HTML document| US6913152B2|2000-12-04|2005-07-05|Peter Zuk, Jr.|Disposable vacuum filtration apparatus capable of detecting microorganisms and particulates in liquid samples| JP2002237375A|2000-12-05|2002-08-23|Ibiden Co Ltd|半導体製造・検査装置用セラミック基板およびその製造方法| KR100886997B1|2000-12-05|2009-03-04|도쿄엘렉트론가부시키가이샤|피처리체의 처리방법 및 처리장치| KR100385947B1|2000-12-06|2003-06-02|삼성전자주식회사|원자층 증착 방법에 의한 박막 형성 방법| US6878402B2|2000-12-06|2005-04-12|Novellus Systems, Inc.|Method and apparatus for improved temperature control in atomic layer deposition| US6413321B1|2000-12-07|2002-07-02|Applied Materials, Inc.|Method and apparatus for reducing particle contamination on wafer backside during CVD process| US6930041B2|2000-12-07|2005-08-16|Micron Technology, Inc.|Photo-assisted method for semiconductor fabrication| US6576564B2|2000-12-07|2003-06-10|Micron Technology, Inc.|Photo-assisted remote plasma apparatus and method| KR20030062365A|2000-12-12|2003-07-23|동경 엘렉트론 주식회사|박막 형성 방법 및 박막 형성 장치| US6814096B2|2000-12-15|2004-11-09|Nor-Cal Products, Inc.|Pressure controller and method| US20020076507A1|2000-12-15|2002-06-20|Chiang Tony P.|Process sequence for atomic layer deposition| TW540093B|2001-04-05|2003-07-01|Angstron Systems Inc|Atomic layer deposition system and method| US6641673B2|2000-12-20|2003-11-04|General Electric Company|Fluid injector for and method of prolonged delivery and distribution of reagents into plasma| US6544906B2|2000-12-21|2003-04-08|Texas Instruments Incorporated|Annealing of high-k dielectric materials| US7015422B2|2000-12-21|2006-03-21|Mattson Technology, Inc.|System and process for heating semiconductor wafers by optimizing absorption of electromagnetic energy| US20020152244A1|2000-12-22|2002-10-17|International Business Machines Corporation|Method and apparatus to dynamically create a customized user interface based on a document type definition| US20020151327A1|2000-12-22|2002-10-17|David Levitt|Program selector and guide system and method| JP5068402B2|2000-12-28|2012-11-07|公益財団法人国際科学振興財団|誘電体膜およびその形成方法、半導体装置、不揮発性半導体メモリ装置、および半導体装置の製造方法| US6398184B1|2000-12-29|2002-06-04|General Signal Corporation|Lock device and lock method for knife gate valves| US7172497B2|2001-01-05|2007-02-06|Asm Nutool, Inc.|Fabrication of semiconductor interconnect structures| US6572923B2|2001-01-12|2003-06-03|The Boc Group, Inc.|Asymmetric organocyclosiloxanes and their use for making organosilicon polymer low-k dielectric film| JP4633269B2|2001-01-15|2011-02-23|株式会社日立国際電気|基板処理装置及び半導体装置の製造方法| US6583048B2|2001-01-17|2003-06-24|Air Products And Chemicals, Inc.|Organosilicon precursors for interlayer dielectric films with low dielectric constants| US7087482B2|2001-01-19|2006-08-08|Samsung Electronics Co., Ltd.|Method of forming material using atomic layer deposition and method of forming capacitor of semiconductor device using the same| JP3916565B2|2001-01-22|2007-05-16|東京エレクトロン株式会社|電子デバイス材料の製造方法| JP4644943B2|2001-01-23|2011-03-09|東京エレクトロン株式会社|処理装置| KR20060009395A|2001-01-25|2006-01-31|동경 엘렉트론 주식회사|기판의 처리 방법| JP4429300B2|2001-01-25|2010-03-10|東京エレクトロン株式会社|電子デバイス材料の製造方法| US6660662B2|2001-01-26|2003-12-09|Applied Materials, Inc.|Method of reducing plasma charge damage for plasma processes| KR20020064028A|2001-01-31|2002-08-07|한빛 세마텍|펄스형 자외선조사에 의한 세정 및 표면처리 장치| JP4722501B2|2004-01-29|2011-07-13|三星電子株式会社|半導体素子の多層誘電体構造物、半導体及びその製造方法| US7299202B2|2001-02-07|2007-11-20|Exalt Solutions, Inc.|Intelligent multimedia e-catalog| JP3626933B2|2001-02-08|2005-03-09|東京エレクトロン株式会社|基板載置台の製造方法| JP2005033221A|2001-02-08|2005-02-03|Tokyo Electron Ltd|基板載置台および処理装置| US6589868B2|2001-02-08|2003-07-08|Applied Materials, Inc.|Si seasoning to reduce particles, extend clean frequency, block mobile ions and increase chamber throughput| EP1421607A2|2001-02-12|2004-05-26|ASM America, Inc.|Improved process for deposition of semiconductor films| US20020108670A1|2001-02-12|2002-08-15|Baker John Eric|High purity chemical container with external level sensor and removable dip tube| US7072061B2|2001-02-13|2006-07-04|Ariba, Inc.|Method and system for extracting information from RFQ documents and compressing RFQ files into a common RFQ file type| US6613656B2|2001-02-13|2003-09-02|Micron Technology, Inc.|Sequential pulse deposition| KR100410991B1|2001-02-22|2003-12-18|삼성전자주식회사|반도체 제조장치의 로드포트| US6632478B2|2001-02-22|2003-10-14|Applied Materials, Inc.|Process for forming a low dielectric constant carbon-containing film| TW544775B|2001-02-28|2003-08-01|Japan Pionics|Chemical vapor deposition apparatus and chemical vapor deposition method| JP4487135B2|2001-03-05|2010-06-23|東京エレクトロン株式会社|流体制御装置| US20020123237A1|2001-03-05|2002-09-05|Tue Nguyen|Plasma pulse semiconductor processing system and method| US6447651B1|2001-03-07|2002-09-10|Applied Materials, Inc.|High-permeability magnetic shield for improved process uniformity in nonmagnetized plasma process chambers| US7111232B1|2001-03-07|2006-09-19|Thomas Layne Bascom|Method and system for making document objects available to users of a network| US7186648B1|2001-03-13|2007-03-06|Novellus Systems, Inc.|Barrier first method for single damascene trench applications| JP3912993B2|2001-03-26|2007-05-09|株式会社荏原製作所|中性粒子ビーム処理装置| US6716571B2|2001-03-28|2004-04-06|Advanced Micro Devices, Inc.|Selective photoresist hardening to facilitate lateral trimming| US6723654B2|2001-03-30|2004-04-20|Taiwan Semiconductor Manufacturing Co., Ltd|Method and apparatus for in-situ descum/hot bake/dry etch photoresist/polyimide layer| US6448192B1|2001-04-16|2002-09-10|Motorola, Inc.|Method for forming a high dielectric constant material| US6521295B1|2001-04-17|2003-02-18|Pilkington North America, Inc.|Chemical vapor deposition of antimony-doped metal oxide and the coated article made thereby| US6482331B2|2001-04-18|2002-11-19|Taiwan Semiconductor Manufacturing Co., Ltd.|Method for preventing contamination in a plasma process chamber| TW538327B|2001-04-24|2003-06-21|Unit Instr Inc|System and method for a mass flow controller| KR100798179B1|2001-04-27|2008-01-24|교세라 가부시키가이샤|웨이퍼 가열장치| US20030019428A1|2001-04-28|2003-01-30|Applied Materials, Inc.|Chemical vapor deposition chamber| US6847014B1|2001-04-30|2005-01-25|Lam Research Corporation|Method and apparatus for controlling the spatial temperature distribution across the surface of a workpiece support| US6528430B2|2001-05-01|2003-03-04|Samsung Electronics Co., Ltd.|Method of forming silicon containing thin films by atomic layer deposition utilizing Si2C16 and NH3| US6864041B2|2001-05-02|2005-03-08|International Business Machines Corporation|Gate linewidth tailoring and critical dimension control for sub-100 nm devices using plasma etching| US6627268B1|2001-05-03|2003-09-30|Novellus Systems, Inc.|Sequential ion, UV, and electron induced chemical vapor deposition| KR20020086763A|2001-05-10|2002-11-20|주식회사 엘지이아이|플라즈마를 이용한 연속중합장치용 열전대| US6596653B2|2001-05-11|2003-07-22|Applied Materials, Inc.|Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD| JP2002343790A|2001-05-21|2002-11-29|Nec Corp|金属化合物薄膜の気相堆積方法及び半導体装置の製造方法| US7262125B2|2001-05-22|2007-08-28|Novellus Systems, Inc.|Method of forming low-resistivity tungsten interconnects| US6528767B2|2001-05-22|2003-03-04|Applied Materials, Inc.|Pre-heating and load lock pedestal material for high temperature CVD liquid crystal and flat panel display applications| US7037574B2|2001-05-23|2006-05-02|Veeco Instruments, Inc.|Atomic layer deposition for fabricating thin films| US6810886B2|2001-05-24|2004-11-02|Applied Materials, Inc.|Chamber cleaning via rapid thermal process during a cleaning period| US7159597B2|2001-06-01|2007-01-09|Applied Materials, Inc.|Multistep remote plasma clean process| GB0113735D0|2001-06-05|2001-07-25|Holset Engineering Co|Mixing fluid streams| US6758909B2|2001-06-05|2004-07-06|Honeywell International Inc.|Gas port sealing for CVD/CVI furnace hearth plates| US6472266B1|2001-06-18|2002-10-29|Taiwan Semiconductor Manufacturing Company|Method to reduce bit line capacitance in cub drams| US6391803B1|2001-06-20|2002-05-21|Samsung Electronics Co., Ltd.|Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane| US6709989B2|2001-06-21|2004-03-23|Motorola, Inc.|Method for fabricating a semiconductor structure including a metal oxide interface with silicon| US6514313B1|2001-06-22|2003-02-04|Aeronex, Inc.|Gas purification system and method| US20030002562A1|2001-06-27|2003-01-02|Yerlikaya Y. Denis|Temperature probe adapter| US6420279B1|2001-06-28|2002-07-16|Sharp Laboratories Of America, Inc.|Methods of using atomic layer deposition to deposit a high dielectric constant material on a substrate| US20030000647A1|2001-06-29|2003-01-02|Applied Materials, Inc.|Substrate processing chamber| JP3708031B2|2001-06-29|2005-10-19|株式会社日立製作所|プラズマ処理装置および処理方法| US20030003696A1|2001-06-29|2003-01-02|Avgerinos Gelatos|Method and apparatus for tuning a plurality of processing chambers| TW539822B|2001-07-03|2003-07-01|Asm Inc|Source chemical container assembly| US7179752B2|2001-07-10|2007-02-20|Tokyo Electron Limited|Dry etching method| US6746308B1|2001-07-11|2004-06-08|Advanced Micro Devices, Inc.|Dynamic lot allocation based upon wafer state characteristics, and system for accomplishing same| US20030017266A1|2001-07-13|2003-01-23|Cem Basceri|Chemical vapor deposition methods of forming barium strontium titanate comprising dielectric layers, including such layers having a varied concentration of barium and strontium within the layer| US6838122B2|2001-07-13|2005-01-04|Micron Technology, Inc.|Chemical vapor deposition methods of forming barium strontium titanate comprising dielectric layers| KR100400044B1|2001-07-16|2003-09-29|삼성전자주식회사|간격 조절 장치를 가지는 웨이퍼 처리 장치의 샤워 헤드| US6878206B2|2001-07-16|2005-04-12|Applied Materials, Inc.|Lid assembly for a processing system to facilitate sequential deposition techniques| US20030017268A1|2001-07-18|2003-01-23|Applied Materials, Inc.|.method of cvd titanium nitride film deposition for increased titanium nitride film uniformity| JP3926588B2|2001-07-19|2007-06-06|キヤノンマーケティングジャパン株式会社|半導体装置の製造方法| JP2003035574A|2001-07-23|2003-02-07|Mitsubishi Heavy Ind Ltd|応答型センサ及び応用計測システム| US6677254B2|2001-07-23|2004-01-13|Applied Materials, Inc.|Processes for making a barrier between a dielectric and a conductor and products produced therefrom| US20080268635A1|2001-07-25|2008-10-30|Sang-Ho Yu|Process for forming cobalt and cobalt silicide materials in copper contact applications| US6638839B2|2001-07-26|2003-10-28|The University Of Toledo|Hot-filament chemical vapor deposition chamber and process with multiple gas inlets| US7085616B2|2001-07-27|2006-08-01|Applied Materials, Inc.|Atomic layer deposition apparatus| US6435865B1|2001-07-30|2002-08-20|Taiwan Semiconductor Manufacturing Co., Ltd.|Apparatus and method for positioning gas injectors in a vertical furnace| US20050020071A1|2001-07-31|2005-01-27|Jun Sonobe|Method and apparatus for cleaning and method and apparatus for etching| JP3958539B2|2001-08-02|2007-08-15|東京エレクトロン株式会社|基板処理装置及び基板処理方法| JP4921652B2|2001-08-03|2012-04-25|エイエスエムインターナショナルエヌ.ヴェー.|イットリウム酸化物およびランタン酸化物薄膜を堆積する方法| US6678583B2|2001-08-06|2004-01-13|Seminet, Inc.|Robotic storage buffer system for substrate carrier pods| JP3775262B2|2001-08-09|2006-05-17|ヤマハ株式会社|電子楽器及び電子楽器システム| US6531412B2|2001-08-10|2003-03-11|International Business Machines Corporation|Method for low temperature chemical vapor deposition of low-k films using selected cyclosiloxane and ozone gases for semiconductor applications| TW559905B|2001-08-10|2003-11-01|Toshiba Corp|Vertical chemical vapor deposition system cross-reference to related applications| JP2003059999A|2001-08-14|2003-02-28|Tokyo Electron Ltd|処理システム| US6820570B2|2001-08-15|2004-11-23|Nobel Biocare Services Ag|Atomic layer deposition reactor| US20030035002A1|2001-08-15|2003-02-20|Samsung Electronics Co., Ltd.|Alternate interpretation of markup language documents| USD699816S1|2001-08-17|2014-02-18|Neoperl Gmbh|Stream straightener for faucet| JP2003060076A|2001-08-21|2003-02-28|Nec Corp|半導体装置及びその製造方法| KR100604751B1|2001-08-24|2006-07-26|주식회사 하이닉스반도체|산 확산 방지용 포토레지스트 공중합체 및 이를 함유하는포토레지스트 조성물| KR20030018134A|2001-08-27|2003-03-06|한국전자통신연구원|조성과 도핑 농도의 제어를 위한 반도체 소자의 절연막형성 방법| JP3886424B2|2001-08-28|2007-02-28|鹿児島日本電気株式会社|基板処理装置及び方法| JP3832293B2|2001-08-31|2006-10-11|株式会社ダイフク|荷保管設備| JP3832294B2|2001-08-31|2006-10-11|株式会社ダイフク|荷保管設備| JP2003077782A|2001-08-31|2003-03-14|Toshiba Corp|半導体装置の製造方法| JP4460803B2|2001-09-05|2010-05-12|パナソニック株式会社|基板表面処理方法| US6521547B1|2001-09-07|2003-02-18|United Microelectronics Corp.|Method of repairing a low dielectric constant material layer| JP2003158127A|2001-09-07|2003-05-30|Arieesu Gijutsu Kenkyu Kk|成膜方法、成膜装置、及び半導体装置| US6756318B2|2001-09-10|2004-06-29|Tegal Corporation|Nanolayer thick film processing system and method| US9708707B2|2001-09-10|2017-07-18|Asm International N.V.|Nanolayer deposition using bias power treatment| JP4094262B2|2001-09-13|2008-06-04|住友大阪セメント株式会社|吸着固定装置及びその製造方法| US6756085B2|2001-09-14|2004-06-29|Axcelis Technologies, Inc.|Ultraviolet curing processes for advanced low-k materials| AU2002333601A1|2001-09-14|2003-04-01|Asm America, Inc.|Metal nitride deposition by ald using gettering reactant| US6541370B1|2001-09-17|2003-04-01|Taiwan Semiconductor Manufacturing Co., Ltd.|Composite microelectronic dielectric layer with inhibited crack susceptibility| US20030059535A1|2001-09-25|2003-03-27|Lee Luo|Cycling deposition of low temperature films in a cold wall single wafer process chamber| US6607976B2|2001-09-25|2003-08-19|Applied Materials, Inc.|Copper interconnect barrier layer structure and formation method| US7049226B2|2001-09-26|2006-05-23|Applied Materials, Inc.|Integration of ALD tantalum nitride for copper metallization| US6782305B2|2001-10-01|2004-08-24|Massachusetts Institute Of Technology|Method of geometric information sharing and parametric consistency maintenance in a collaborative design environment| US6720259B2|2001-10-02|2004-04-13|Genus, Inc.|Passivation method for improved uniformity and repeatability for atomic layer deposition and chemical vapor deposition| US6960537B2|2001-10-02|2005-11-01|Asm America, Inc.|Incorporation of nitrogen into high k dielectric film| KR100431658B1|2001-10-05|2004-05-17|삼성전자주식회사|기판 가열 장치 및 이를 갖는 장치| US6461436B1|2001-10-15|2002-10-08|Micron Technology, Inc.|Apparatus and process of improving atomic layer deposition chamber performance| US6936183B2|2001-10-17|2005-08-30|Applied Materials, Inc.|Etch process for etching microstructures| US7780789B2|2001-10-26|2010-08-24|Applied Materials, Inc.|Vortex chamber lids for atomic layer deposition| US20080102208A1|2001-10-26|2008-05-01|Dien-Yeh Wu|Vortex chamber lids for atomic layer deposition| DE60203413T2|2001-10-26|2006-04-20|Applied Materials, Inc., Santa Clara|Gaszuführvorrichtung zur abscheidung von atomaren schichten| JP2003133300A|2001-10-26|2003-05-09|Tokyo Electron Ltd|成膜装置及び成膜方法| US7780785B2|2001-10-26|2010-08-24|Applied Materials, Inc.|Gas delivery apparatus for atomic layer deposition| US6916398B2|2001-10-26|2005-07-12|Applied Materials, Inc.|Gas delivery apparatus and method for atomic layer deposition| US20080102203A1|2001-10-26|2008-05-01|Dien-Yeh Wu|Vortex chamber lids for atomic layer deposition| AU2002343583A1|2001-10-29|2003-05-12|Genus, Inc.|Chemical vapor deposition system| US20040253867A1|2001-11-05|2004-12-16|Shuzo Matsumoto|Circuit part connector structure and gasket| KR100760291B1|2001-11-08|2007-09-19|에이에스엠지니텍코리아 주식회사|박막 형성 방법| US6975921B2|2001-11-09|2005-12-13|Asm International Nv|Graphical representation of a wafer processing process| KR20030039247A|2001-11-12|2003-05-17|주성엔지니어링|서셉터| US20040010772A1|2001-11-13|2004-01-15|General Electric Company|Interactive method and system for faciliting the development of computer software applications| WO2003044843A2|2001-11-16|2003-05-30|Trikon Holdings Limited|Forming low k dielectric layers| JP2003153706A|2001-11-20|2003-05-27|Toyobo Co Ltd|面ファスナー雌材及びその製造方法| US6926774B2|2001-11-21|2005-08-09|Applied Materials, Inc.|Piezoelectric vaporizer| USD461233S1|2001-11-29|2002-08-06|James Michael Whalen|Marine deck drain strainer| JP4116283B2|2001-11-30|2008-07-09|レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード|ヘキサキス(モノヒドロカルビルアミノ)ジシランおよびその製造方法| US7816688B2|2001-11-30|2010-10-19|Panasonic Corporation|Semiconductor device and production method therefor| US7017514B1|2001-12-03|2006-03-28|Novellus Systems, Inc.|Method and apparatus for plasma optimization in water processing| US6638879B2|2001-12-06|2003-10-28|Macronix International Co., Ltd.|Method for forming nitride spacer by using atomic layer deposition| SG152910A1|2001-12-07|2009-06-29|Tokyo Electron Ltd|Nitriding method for insulation film, semiconductor device and production method for semiconductor device, substrate treating device and substrate treating method| KR100446619B1|2001-12-14|2004-09-04|삼성전자주식회사|유도 결합 플라즈마 장치| SE0104252D0|2001-12-17|2001-12-17|Sintercast Ab|New device| US20030111013A1|2001-12-19|2003-06-19|Oosterlaken Theodorus Gerardus Maria|Method for the deposition of silicon germanium layers| US6841201B2|2001-12-21|2005-01-11|The Procter & Gamble Company|Apparatus and method for treating a workpiece using plasma generated from microwave radiation| DE10163394A1|2001-12-21|2003-07-03|Aixtron Ag|Verfahren und Vorrichtung zum Abscheiden kristalliner Schichten und auf kristallinen Substraten| US20030116087A1|2001-12-21|2003-06-26|Nguyen Anh N.|Chamber hardware design for titanium nitride atomic layer deposition| JP3891267B2|2001-12-25|2007-03-14|キヤノンアネルバ株式会社|シリコン酸化膜作製方法| KR100442104B1|2001-12-27|2004-07-27|삼성전자주식회사|커패시터를 갖는 반도체 소자의 제조방법| US6497734B1|2002-01-02|2002-12-24|Novellus Systems, Inc.|Apparatus and method for enhanced degassing of semiconductor wafers for increased throughput| US6766260B2|2002-01-04|2004-07-20|Mks Instruments, Inc.|Mass flow ratio system and method| KR100903484B1|2002-01-15|2009-06-18|도쿄엘렉트론가부시키가이샤|실리콘 함유 절연막을 형성하는 cvd 방법 및 장치| US6580050B1|2002-01-16|2003-06-17|Pace, Incorporated|Soldering station with built-in self-calibration function| JP4071968B2|2002-01-17|2008-04-02|東芝三菱電機産業システム株式会社|ガス供給システム及びガス供給方法| KR100979575B1|2002-01-17|2010-09-01|썬듀 테크놀로지스 엘엘씨|원자층 침착 장치 및 이의 제조방법| US6760981B2|2002-01-18|2004-07-13|Speedline Technologies, Inc.|Compact convection drying chamber for drying printed circuit boards and other electronic assemblies by enhanced evaporation| US6793733B2|2002-01-25|2004-09-21|Applied Materials Inc.|Gas distribution showerhead| US6998014B2|2002-01-26|2006-02-14|Applied Materials, Inc.|Apparatus and method for plasma assisted deposition| US20030141820A1|2002-01-30|2003-07-31|Applied Materials, Inc.|Method and apparatus for substrate processing| KR100377095B1|2002-02-01|2003-03-20|Nexo Co Ltd|Semiconductor fabrication apparatus using low energy plasma| US7115305B2|2002-02-01|2006-10-03|California Institute Of Technology|Method of producing regular arrays of nano-scale objects using nano-structured block-copolymeric materials| US7129165B2|2003-02-04|2006-10-31|Asm Nutool, Inc.|Method and structure to improve reliability of copper interconnects| US6732006B2|2002-02-06|2004-05-04|Asm International Nv|Method and system to process semiconductor wafers| US6899507B2|2002-02-08|2005-05-31|Asm Japan K.K.|Semiconductor processing apparatus comprising chamber partitioned into reaction and transfer sections| US6777352B2|2002-02-11|2004-08-17|Applied Materials, Inc.|Variable flow deposition apparatus and method in semiconductor substrate processing| US7479304B2|2002-02-14|2009-01-20|Applied Materials, Inc.|Gas distribution plate fabricated from a solid yttrium oxide-comprising substrate| DE10207131B4|2002-02-20|2007-12-20|Infineon Technologies Ag|Verfahren zur Bildung einer Hartmaske in einer Schicht auf einer flachen Scheibe| US6734090B2|2002-02-20|2004-05-11|International Business Machines Corporation|Method of making an edge seal for a semiconductor device| US6787185B2|2002-02-25|2004-09-07|Micron Technology, Inc.|Deposition methods for improved delivery of metastable species| NL1020054C2|2002-02-25|2003-09-05|Asm Int|Inrichting voor het behandelen van wafers, voorzien van een meetmiddelendoos.| US20030159653A1|2002-02-28|2003-08-28|Dando Ross S.|Manifold assembly for feeding reactive precursors to substrate processing chambers| TW200305228A|2002-03-01|2003-10-16|Hitachi Int Electric Inc|Heat treatment apparatus and a method for fabricating substrates| KR100449028B1|2002-03-05|2004-09-16|삼성전자주식회사|원자층 증착법을 이용한 박막 형성방법| KR100997699B1|2002-03-05|2010-12-02|가부시키가이샤 한도오따이 에네루기 켄큐쇼|트랜지스터| US7250083B2|2002-03-08|2007-07-31|Sundew Technologies, Llc|ALD method and apparatus| JP2003264186A|2002-03-11|2003-09-19|Asm Japan Kk|Cvd装置処理室のクリーニング方法| US6753618B2|2002-03-11|2004-06-22|Micron Technology, Inc.|MIM capacitor with metal nitride electrode materials and method of formation| US6835039B2|2002-03-15|2004-12-28|Asm International N.V.|Method and apparatus for batch processing of wafers in a furnace| US20030173346A1|2002-03-18|2003-09-18|Renken Wayne Glenn|System and method for heating and cooling wafer at accelerated rates| US6962644B2|2002-03-18|2005-11-08|Applied Materials, Inc.|Tandem etch chamber plasma processing system| JP4157914B2|2002-03-20|2008-10-01|坂野數仁|温度測定装置及び温度測定方法| JP4099092B2|2002-03-26|2008-06-11|東京エレクトロン株式会社|基板処理装置および基板処理方法、高速ロータリバルブ| US6800134B2|2002-03-26|2004-10-05|Micron Technology, Inc.|Chemical vapor deposition methods and atomic layer deposition methods| US6825134B2|2002-03-26|2004-11-30|Applied Materials, Inc.|Deposition of film layers by alternately pulsing a precursor and high frequency power in a continuous gas flow| JP4128383B2|2002-03-27|2008-07-30|東京エレクトロン株式会社|処理装置及び処理方法| EP1490529A1|2002-03-28|2004-12-29|President And Fellows Of Harvard College|Vapor deposition of silicon dioxide nanolaminates| DE10214066B4|2002-03-28|2007-02-01|Advanced Micro Devices, Inc., Sunnyvale|Halbleiterbauelement mit retrogradem Dotierprofil in einem Kanalgebiet und Verfahren zur Herstellung desselben| US6883733B1|2002-03-28|2005-04-26|Novellus Systems, Inc.|Tapered post, showerhead design to improve mixing on dual plenum showerheads| US6594550B1|2002-03-29|2003-07-15|Asm America, Inc.|Method and system for using a buffer to track robotic movement| JP4106948B2|2002-03-29|2008-06-25|東京エレクトロン株式会社|被処理体の跳上り検出装置、被処理体の跳上り検出方法、プラズマ処理装置及びプラズマ処理方法| US20030231698A1|2002-03-29|2003-12-18|Takatomo Yamaguchi|Apparatus and method for fabricating a semiconductor device and a heat treatment apparatus| JP4001498B2|2002-03-29|2007-10-31|東京エレクトロン株式会社|絶縁膜の形成方法及び絶縁膜の形成システム| US20030188685A1|2002-04-08|2003-10-09|Applied Materials, Inc.|Laser drilled surfaces for substrate processing chambers| US6875271B2|2002-04-09|2005-04-05|Applied Materials, Inc.|Simultaneous cyclical deposition in different processing regions| KR20030081144A|2002-04-11|2003-10-17|가부시키가이샤 히다치 고쿠사이 덴키|종형 반도체 제조 장치| JP4092937B2|2002-04-11|2008-05-28|松下電工株式会社|プラズマ処理装置及びプラズマ処理方法| US6710312B2|2002-04-12|2004-03-23|B H Thermal Corporation|Heating jacket assembly with field replaceable thermostat| US7988833B2|2002-04-12|2011-08-02|Schneider Electric USA, Inc.|System and method for detecting non-cathode arcing in a plasma generation apparatus| US8293001B2|2002-04-17|2012-10-23|Air Products And Chemicals, Inc.|Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants| US6846515B2|2002-04-17|2005-01-25|Air Products And Chemicals, Inc.|Methods for using porogens and/or porogenated precursors to provide porous organosilica glass films with low dielectric constants| KR100439948B1|2002-04-19|2004-07-12|주식회사 아이피에스|리모트 플라즈마 ald 장치 및 이를 이용한 ald 박막증착방법| DE10392519T5|2002-04-19|2005-08-04|Mattson Technology Inc., Fremont|System zur Abscheidung eines Films auf einem Substrat unter Verwendung eines Gas-Precursors mit niedrigem Dampfdruck| US6825126B2|2002-04-25|2004-11-30|Hitachi Kokusai Electric Inc.|Manufacturing method of semiconductor device and substrate processing apparatus| KR100472730B1|2002-04-26|2005-03-08|주식회사 하이닉스반도체|원자층증착법을 이용한 반도체 소자의 금속전극 형성방법| US7045430B2|2002-05-02|2006-05-16|Micron Technology Inc.|Atomic layer-deposited LaAlO3 films for gate dielectrics| US7589029B2|2002-05-02|2009-09-15|Micron Technology, Inc.|Atomic layer deposition and conversion| US20030209326A1|2002-05-07|2003-11-13|Mattson Technology, Inc.|Process and system for heating semiconductor substrates in a processing chamber containing a susceptor| KR100437458B1|2002-05-07|2004-06-23|삼성전자주식회사|상변화 기억 셀들 및 그 제조방법들| JP2003324072A|2002-05-07|2003-11-14|Nec Electronics Corp|半導体製造装置| US7122844B2|2002-05-13|2006-10-17|Cree, Inc.|Susceptor for MOCVD reactor| US20030213560A1|2002-05-16|2003-11-20|Yaxin Wang|Tandem wafer processing system and process| US6682973B1|2002-05-16|2004-01-27|Advanced Micro Devices, Inc.|Formation of well-controlled thin SiO, SiN, SiON layer for multilayer high-K dielectric applications| KR100466818B1|2002-05-17|2005-01-24|주식회사 하이닉스반도체|반도체 소자의 절연막 형성 방법| US6797525B2|2002-05-22|2004-09-28|Agere Systems Inc.|Fabrication process for a semiconductor device having a metal oxide dielectric material with a high dielectric constant, annealed with a buffered anneal process| US6902656B2|2002-05-24|2005-06-07|Dalsa Semiconductor Inc.|Fabrication of microstructures with vacuum-sealed cavity| KR20030092305A|2002-05-29|2003-12-06|삼성전자주식회사|고온 언도우프 막 증착 설비의 챔버 외벽에 대한 온도측정장치| JP4311914B2|2002-06-05|2009-08-12|住友電気工業株式会社|半導体製造装置用ヒータモジュール| US7195693B2|2002-06-05|2007-03-27|Advanced Thermal Sciences|Lateral temperature equalizing system for large area surfaces during processing| US20060014384A1|2002-06-05|2006-01-19|Jong-Cheol Lee|Method of forming a layer and forming a capacitor of a semiconductor device having the same layer| US7135421B2|2002-06-05|2006-11-14|Micron Technology, Inc.|Atomic layer-deposited hafnium aluminum oxide| US6849464B2|2002-06-10|2005-02-01|Micron Technology, Inc.|Method of fabricating a multilayer dielectric tunnel barrier structure| JP2004014952A|2002-06-10|2004-01-15|Tokyo Electron Ltd|処理装置および処理方法| JP4354908B2|2002-06-10|2009-10-28|東京エレクトロン株式会社|処理装置| US6858547B2|2002-06-14|2005-02-22|Applied Materials, Inc.|System and method for forming a gate dielectric| US7067439B2|2002-06-14|2006-06-27|Applied Materials, Inc.|ALD metal oxide deposition process using direct oxidation| US7601225B2|2002-06-17|2009-10-13|Asm International N.V.|System for controlling the sublimation of reactants| JP2004022902A|2002-06-18|2004-01-22|Fujitsu Ltd|半導体装置の製造方法| KR100455297B1|2002-06-19|2004-11-06|삼성전자주식회사|무기물 나노튜브 제조방법| JP3670628B2|2002-06-20|2005-07-13|株式会社東芝|成膜方法、成膜装置、および半導体装置の製造方法| TWI278532B|2002-06-23|2007-04-11|Asml Us Inc|Method for energy-assisted atomic layer deposition and removal| US6552209B1|2002-06-24|2003-04-22|Air Products And Chemicals, Inc.|Preparation of metal imino/amino complexes for metal oxide and metal nitride thin films| JP3999059B2|2002-06-26|2007-10-31|東京エレクトロン株式会社|基板処理システム及び基板処理方法| US7255775B2|2002-06-28|2007-08-14|Toshiba Ceramics Co., Ltd.|Semiconductor wafer treatment member| US20040018750A1|2002-07-02|2004-01-29|Sophie Auguste J.L.|Method for deposition of nitrogen doped silicon carbide films| US7356762B2|2002-07-08|2008-04-08|Asm International Nv|Method for the automatic generation of an interactive electronic equipment documentation package| US6821347B2|2002-07-08|2004-11-23|Micron Technology, Inc.|Apparatus and method for depositing materials onto microelectronic workpieces| US6838125B2|2002-07-10|2005-01-04|Applied Materials, Inc.|Method of film deposition using activated precursor gases| WO2004007800A1|2002-07-15|2004-01-22|Aviza Technology, Inc.|Thermal processing apparatus and method for evacuating a process chamber| US6976822B2|2002-07-16|2005-12-20|Semitool, Inc.|End-effectors and transfer devices for handling microelectronic workpieces| KR101104058B1|2003-05-27|2012-01-06|어플라이드 머티어리얼스, 인코포레이티드|반도체 처리 장치를 위한 프리커서를 생성하는 방법 및장치| US6955211B2|2002-07-17|2005-10-18|Applied Materials, Inc.|Method and apparatus for gas temperature control in a semiconductor processing system| US7186385B2|2002-07-17|2007-03-06|Applied Materials, Inc.|Apparatus for providing gas to a processing chamber| US7357138B2|2002-07-18|2008-04-15|Air Products And Chemicals, Inc.|Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials| JP5005170B2|2002-07-19|2012-08-22|エーエスエムアメリカインコーポレイテッド|超高品質シリコン含有化合物層の形成方法| TW200427858A|2002-07-19|2004-12-16|Asml Us Inc|Atomic layer deposition of high k dielectric films| JP2005534179A|2002-07-19|2005-11-10|アヴィザテクノロジーインコーポレイテッド|アミノシランとオゾンを用いる低温誘電体蒸着法| KR100447284B1|2002-07-19|2004-09-07|삼성전자주식회사|화학기상증착 챔버의 세정 방법| CN101109470A|2002-07-19|2008-01-23|诚实公司|液体流动控制器和精密分配设备及系统| US6772072B2|2002-07-22|2004-08-03|Applied Materials, Inc.|Method and apparatus for monitoring solid precursor delivery| US6921062B2|2002-07-23|2005-07-26|Advanced Technology Materials, Inc.|Vaporizer delivery ampoule| US7223323B2|2002-07-24|2007-05-29|Applied Materials, Inc.|Multi-chemistry plating system| US7018555B2|2002-07-26|2006-03-28|Dainippon Screen Mfg. Co., Ltd.|Substrate treatment method and substrate treatment apparatus| KR100464855B1|2002-07-26|2005-01-06|삼성전자주식회사|박막 형성 방법과, 이를 이용한 커패시터 형성 방법 및트랜지스터 형성 방법| WO2004011695A2|2002-07-30|2004-02-05|Asm America, Inc.|Sublimation system employing carrier gas| US7504006B2|2002-08-01|2009-03-17|Applied Materials, Inc.|Self-ionized and capacitively-coupled plasma for sputtering and resputtering| DE10235427A1|2002-08-02|2004-02-12|Eos Gmbh Electro Optical Systems|Vorrichtung und Verfahren zum Herstellen von dreidimensionalen Objekten mittels eines generativen Fertigungsverfahrens| US7153542B2|2002-08-06|2006-12-26|Tegal Corporation|Assembly line processing method| KR100480610B1|2002-08-09|2005-03-31|삼성전자주식회사|실리콘 산화막을 이용한 미세 패턴 형성방법| JP4034145B2|2002-08-09|2008-01-16|住友大阪セメント株式会社|サセプタ装置| US7085623B2|2002-08-15|2006-08-01|Asm International Nv|Method and system for using short ranged wireless enabled computers as a service tool| TW200408323A|2002-08-18|2004-05-16|Asml Us Inc|Atomic layer deposition of high k metal oxides| TW200408015A|2002-08-18|2004-05-16|Asml Us Inc|Atomic layer deposition of high K metal silicates| US6649921B1|2002-08-19|2003-11-18|Fusion Uv Systems, Inc.|Apparatus and method providing substantially two-dimensionally uniform irradiation| US6927140B2|2002-08-21|2005-08-09|Intel Corporation|Method for fabricating a bipolar transistor base| US20040036129A1|2002-08-22|2004-02-26|Micron Technology, Inc.|Atomic layer deposition of CMOS gates with variable work functions| US6884296B2|2002-08-23|2005-04-26|Micron Technology, Inc.|Reactors having gas distributors and methods for depositing materials onto micro-device workpieces| US6967154B2|2002-08-26|2005-11-22|Micron Technology, Inc.|Enhanced atomic layer deposition| US7041609B2|2002-08-28|2006-05-09|Micron Technology, Inc.|Systems and methods for forming metal oxides using alcohols| US6794284B2|2002-08-28|2004-09-21|Micron Technology, Inc.|Systems and methods for forming refractory metal nitride layers using disilazanes| JP2004091848A|2002-08-30|2004-03-25|Tokyo Electron Ltd|薄膜形成装置の原料ガス供給系および薄膜形成装置| USD511280S1|2002-09-04|2005-11-08|Thermal Dynamics Corporation|Plasma arc torch tip| AU2003257112A1|2002-09-10|2004-04-30|Fsi International, Inc.|Thermal process station with heated lid| US6936086B2|2002-09-11|2005-08-30|Planar Systems, Inc.|High conductivity particle filter| JP2004103990A|2002-09-12|2004-04-02|Hitachi Kokusai Electric Inc|半導体製造装置および半導体装置の製造方法| US20040050325A1|2002-09-12|2004-03-18|Samoilov Arkadii V.|Apparatus and method for delivering process gas to a substrate processing system| US7011299B2|2002-09-16|2006-03-14|Matheson Tri-Gas, Inc.|Liquid vapor delivery system and method of maintaining a constant level of fluid therein| KR100497748B1|2002-09-17|2005-06-29|주식회사 무한|반도체소자 제조용 원자층 증착 장치 및 원자층 증착 방법| US7411352B2|2002-09-19|2008-08-12|Applied Process Technologies, Inc.|Dual plasma beam sources and method| JP3594947B2|2002-09-19|2004-12-02|東京エレクトロン株式会社|絶縁膜の形成方法、半導体装置の製造方法、基板処理装置| US7252738B2|2002-09-20|2007-08-07|Lam Research Corporation|Apparatus for reducing polymer deposition on a substrate and substrate support| JP3887291B2|2002-09-24|2007-02-28|東京エレクトロン株式会社|基板処理装置| JP4231953B2|2002-09-24|2009-03-04|ペガサスネット株式会社|耳孔式saw体温計及び該体温計による体温管理システム| JP3877157B2|2002-09-24|2007-02-07|東京エレクトロン株式会社|基板処理装置| US6696367B1|2002-09-27|2004-02-24|Asm America, Inc.|System for the improved handling of wafers within a process tool| JP2004127957A|2002-09-30|2004-04-22|Fujitsu Ltd|半導体装置の製造方法と半導体装置| JP2004128019A|2002-09-30|2004-04-22|Applied Materials Inc|プラズマ処理方法及び装置| US20040065255A1|2002-10-02|2004-04-08|Applied Materials, Inc.|Cyclical layer deposition system| US8187377B2|2002-10-04|2012-05-29|Silicon Genesis Corporation|Non-contact etch annealing of strained layers| US7749563B2|2002-10-07|2010-07-06|Applied Materials, Inc.|Two-layer film for next generation damascene barrier application with good oxidation resistance| JP3671951B2|2002-10-08|2005-07-13|住友電気工業株式会社|測温装置及びそれを用いたセラミックスヒータ| JP4093462B2|2002-10-09|2008-06-04|東京エレクトロン株式会社|基板処理方法及び基板処理装置| JP2004134553A|2002-10-10|2004-04-30|Sony Corp|レジストパターンの形成方法及び半導体装置の製造方法| US6905737B2|2002-10-11|2005-06-14|Applied Materials, Inc.|Method of delivering activated species for rapid cyclical deposition| EP1408140A1|2002-10-11|2004-04-14|STMicroelectronics S.r.l.|A high-density plasma process for depositing a layer of Silicon Nitride| US7080545B2|2002-10-17|2006-07-25|Advanced Technology Materials, Inc.|Apparatus and process for sensing fluoro species in semiconductor processing systems| KR100460841B1|2002-10-22|2004-12-09|한국전자통신연구원|플라즈마 인가 원자층 증착법을 통한 질소첨가 산화물박막의 형성방법| US6821909B2|2002-10-30|2004-11-23|Applied Materials, Inc.|Post rinse to improve selective deposition of electroless cobalt on copper for ULSI application| US6982230B2|2002-11-08|2006-01-03|International Business Machines Corporation|Deposition of hafnium oxide and/or zirconium oxide and fabrication of passivated electronic structures| JP4009523B2|2002-11-14|2007-11-14|岩谷産業株式会社|オゾンガス濃度計測方法及びオゾンガス濃度計測装置| US7204886B2|2002-11-14|2007-04-17|Applied Materials, Inc.|Apparatus and method for hybrid chemical processing| US7557229B2|2002-11-15|2009-07-07|President And Fellows Of Harvard College|Atomic layer deposition using metal amidinates| US6676290B1|2002-11-15|2004-01-13|Hsueh-Yu Lu|Electronic clinical thermometer| KR100520902B1|2002-11-20|2005-10-12|주식회사 아이피에스|알루미늄 화합물을 이용한 박막증착방법| US7062161B2|2002-11-28|2006-06-13|Dainippon Screen Mfg. Co., Ltd.|Photoirradiation thermal processing apparatus and thermal processing susceptor employed therefor| KR100974141B1|2002-11-28|2010-08-04|도쿄엘렉트론가부시키가이샤|기판 처리 장치| KR100486690B1|2002-11-29|2005-05-03|삼성전자주식회사|기판 이송 모듈의 오염을 제어할 수 있는 기판 처리 장치및 방법| KR100496265B1|2002-11-29|2005-06-17|한국전자통신연구원|반도체 소자의 박막 형성방법| TWI312175B|2002-12-02|2009-07-11|Au Optronics Corp|| US6858524B2|2002-12-03|2005-02-22|Asm International, Nv|Method of depositing barrier layer for metal gates| US7122414B2|2002-12-03|2006-10-17|Asm International, Inc.|Method to fabricate dual metal CMOS devices| US6895158B2|2002-12-09|2005-05-17|Eastman Kodak Company|Waveguide and method of smoothing optical surfaces| USD496008S1|2002-12-12|2004-09-14|Tokyo Electron Limited|Exhaust ring for manufacturing semiconductors| USD494552S1|2002-12-12|2004-08-17|Tokyo Electron Limited|Exhaust ring for manufacturing semiconductors| US7092287B2|2002-12-18|2006-08-15|Asm International N.V.|Method of fabricating silicon nitride nanodots| US6990430B2|2002-12-20|2006-01-24|Brooks Automation, Inc.|System and method for on-the-fly eccentricity recognition| JP2004207564A|2002-12-26|2004-07-22|Fujitsu Ltd|半導体装置の製造方法と半導体装置| CN2588350Y|2002-12-26|2003-11-26|张连合|一种热电偶| DE10261362B8|2002-12-30|2008-08-28|Osram Opto Semiconductors Gmbh|Substrat-Halter| US7270713B2|2003-01-07|2007-09-18|Applied Materials, Inc.|Tunable gas distribution plate assembly| USD486891S1|2003-01-21|2004-02-17|Richard W. Cronce, Jr.|Vent pipe protective cover| USD497977S1|2003-01-22|2004-11-02|Tour & Andersson Ab|Sealing ring membrane| US7122222B2|2003-01-23|2006-10-17|Air Products And Chemicals, Inc.|Precursors for depositing silicon containing films and processes thereof| US20040144980A1|2003-01-27|2004-07-29|Ahn Kie Y.|Atomic layer deposition of metal oxynitride layers as gate dielectrics and semiconductor device structures utilizing metal oxynitride layers| USD558021S1|2003-01-30|2007-12-25|Roger Lawrence|Metal fabrication clamp| US20040152287A1|2003-01-31|2004-08-05|Sherrill Adrian B.|Deposition of a silicon film| US7163721B2|2003-02-04|2007-01-16|Tegal Corporation|Method to plasma deposit on organic polymer dielectric film| US7713592B2|2003-02-04|2010-05-11|Tegal Corporation|Nanolayer deposition process| KR100800639B1|2003-02-06|2008-02-01|동경 엘렉트론 주식회사|플라즈마 처리 방법, 반도체 기판 및 플라즈마 처리 장치| US6876017B2|2003-02-08|2005-04-05|Intel Corporation|Polymer sacrificial light absorbing structure and method| US7374696B2|2003-02-14|2008-05-20|Applied Materials, Inc.|Method and apparatus for removing a halogen-containing residue| TWI338323B|2003-02-17|2011-03-01|Nikon Corp|Stage device, exposure device and manufacguring method of devices| US20040168627A1|2003-02-27|2004-09-02|Sharp Laboratories Of America, Inc.|Atomic layer deposition of oxide film| US6917755B2|2003-02-27|2005-07-12|Applied Materials, Inc.|Substrate support| US7091453B2|2003-02-27|2006-08-15|Dainippon Screen Mfg. Co., Ltd.|Heat treatment apparatus by means of light irradiation| US6930059B2|2003-02-27|2005-08-16|Sharp Laboratories Of America, Inc.|Method for depositing a nanolaminate film by atomic layer deposition| US7077911B2|2003-03-03|2006-07-18|Seiko Epson Corporation|MOCVD apparatus and MOCVD method| US7192892B2|2003-03-04|2007-03-20|Micron Technology, Inc.|Atomic layer deposited dielectric layers| US7098149B2|2003-03-04|2006-08-29|Air Products And Chemicals, Inc.|Mechanical enhancement of dense and porous organosilicate materials by UV exposure| JP2004273766A|2003-03-07|2004-09-30|Watanabe Shoko:Kk|気化装置及びそれを用いた成膜装置並びに気化方法及び成膜方法| US7238653B2|2003-03-10|2007-07-03|Hynix Semiconductor Inc.|Cleaning solution for photoresist and method for forming pattern using the same| JP2004288916A|2003-03-24|2004-10-14|Renesas Technology Corp|Cvd装置| JP4369203B2|2003-03-24|2009-11-18|信越化学工業株式会社|反射防止膜材料、反射防止膜を有する基板及びパターン形成方法| JP2004294638A|2003-03-26|2004-10-21|Tokyo Ohka Kogyo Co Ltd|ネガ型レジスト材料およびレジストパターン形成方法| WO2004086496A1|2003-03-26|2004-10-07|Shin-Etsu Handotai Co., Ltd.|熱処理用ウェーハ支持具及び熱処理装置| US6972055B2|2003-03-28|2005-12-06|Finens Corporation|Continuous flow deposition system| US7223014B2|2003-03-28|2007-05-29|Intempco Controls Ltd.|Remotely programmable integrated sensor transmitter| US7265061B1|2003-05-09|2007-09-04|Novellus Systems, Inc.|Method and apparatus for UV exposure of low dielectric constant materials for porogen removal and improved mechanical properties| US7208389B1|2003-03-31|2007-04-24|Novellus Systems, Inc.|Method of porogen removal from porous low-k films using UV radiation| US20040198069A1|2003-04-04|2004-10-07|Applied Materials, Inc.|Method for hafnium nitride deposition| KR100500246B1|2003-04-09|2005-07-11|삼성전자주식회사|가스공급장치| US7037376B2|2003-04-11|2006-05-02|Applied Materials Inc.|Backflush chamber clean| US6942753B2|2003-04-16|2005-09-13|Applied Materials, Inc.|Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition| JP2004336019A|2003-04-18|2004-11-25|Advanced Lcd Technologies Development Center Co Ltd|成膜方法、半導体素子の形成方法、半導体素子、表示装置の形成方法及び表示装置| US7077973B2|2003-04-18|2006-07-18|Applied Materials, Inc.|Methods for substrate orientation| KR100890493B1|2003-04-18|2009-03-26|가부시키가이샤 히다치 고쿠사이 덴키|반도체 제조 장치| TW200506093A|2003-04-21|2005-02-16|Aviza Tech Inc|System and method for forming multi-component films| US7221553B2|2003-04-22|2007-05-22|Applied Materials, Inc.|Substrate support having heat transfer system| US7183186B2|2003-04-22|2007-02-27|Micro Technology, Inc.|Atomic layer deposited ZrTiO4 films| US6953608B2|2003-04-23|2005-10-11|Taiwan Semiconductor Manufacturing Co., Ltd.|Solution for FSG induced metal corrosion & metal peeling defects with extra bias liner and smooth RF bias ramp up| US20040211357A1|2003-04-24|2004-10-28|Gadgil Pradad N.|Method of manufacturing a gap-filled structure of a semiconductor device| US20040261712A1|2003-04-25|2004-12-30|Daisuke Hayashi|Plasma processing apparatus| KR200319645Y1|2003-04-28|2003-07-12|이규옥|웨이퍼 캐리어 고정 장치| US7601223B2|2003-04-29|2009-10-13|Asm International N.V.|Showerhead assembly and ALD methods| US7033113B2|2003-05-01|2006-04-25|Shell Oil Company|Mid-line connector and method for pipe-in-pipe electrical heating| US20090204403A1|2003-05-07|2009-08-13|Omega Engineering, Inc.|Speech generating means for use with signal sensors| US6939817B2|2003-05-08|2005-09-06|Micron Technology, Inc.|Removal of carbon from an insulative layer using ozone| EP1623454A2|2003-05-09|2006-02-08|ASM America, Inc.|Reactor surface passivation through chemical deactivation| JP3642572B2|2003-05-09|2005-04-27|東芝三菱電機産業システム株式会社|オゾン発生装置およびオゾン発生方法| US20040250600A1|2003-05-12|2004-12-16|Bevers William Daniel|Method of mass flow control flow verification and calibration| US20050000428A1|2003-05-16|2005-01-06|Shero Eric J.|Method and apparatus for vaporizing and delivering reactant| USD505590S1|2003-05-22|2005-05-31|Kraft Foods Holdings, Inc.|Susceptor tray| JP4403824B2|2003-05-26|2010-01-27|東京エレクトロン株式会社|シリコン窒化膜の成膜方法| US7141500B2|2003-06-05|2006-11-28|American Air Liquide, Inc.|Methods for forming aluminum containing films utilizing amino aluminum precursors| US8512798B2|2003-06-05|2013-08-20|Superpower, Inc.|Plasma assisted metalorganic chemical vapor deposition system| US8357214B2|2007-04-26|2013-01-22|Trulite, Inc.|Apparatus, system, and method for generating a gas from solid reactant pouches| US7589003B2|2003-06-13|2009-09-15|Arizona Board Of Regents, Acting For And On Behalf Of Arizona State University, A Corporate Body Organized Under Arizona Law|GeSn alloys and ordered phases with direct tunable bandgaps grown directly on silicon| US7598513B2|2003-06-13|2009-10-06|Arizona Board Of Regents, Acting For And On Behalf Of Arizona State University, A Corporate Body Organized Under Arizona Law|SixSnyGe1-x-y and related alloy heterostructures based on Si, Ge and Sn| US7238596B2|2003-06-13|2007-07-03|Arizona Board of Regenta, a body corporate of the State of Arizona acting for and on behalf of Arizona State University|Method for preparing Ge1-x-ySnxEy semiconductors and related Si-Ge-Sn-E and Si-Ge-E analogs| KR100724181B1|2003-06-16|2007-05-31|동경 엘렉트론 주식회사|성막 방법, 반도체 장치의 제조 방법, 반도체 장치 및 성막장치| US7211508B2|2003-06-18|2007-05-01|Applied Materials, Inc.|Atomic layer deposition of tantalum based barrier materials| US7192824B2|2003-06-24|2007-03-20|Micron Technology, Inc.|Lanthanide oxide / hafnium oxide dielectric layers| DE10328660B3|2003-06-26|2004-12-02|Infineon Technologies Ag|Verfahren zum Bestimmen der Temperatur eines Halbleiterwafers| KR20050001793A|2003-06-26|2005-01-07|삼성전자주식회사|단원자층 증착 공정의 실시간 분석 방법| US20100129548A1|2003-06-27|2010-05-27|Sundew Technologies, Llc|Ald apparatus and method| AT468421T|2003-06-27|2010-06-15|Sundew Technologies Llc|Vorrichtung und verfahren zur steuerung des dampfdrucks einer chemikalienquelle| US20070012402A1|2003-07-08|2007-01-18|Sundew Technologies, Llc|Apparatus and method for downstream pressure control and sub-atmospheric reactive gas abatement| US7547363B2|2003-07-08|2009-06-16|Tosoh Finechem Corporation|Solid organometallic compound-filled container and filling method thereof| US7055875B2|2003-07-11|2006-06-06|Asyst Technologies, Inc.|Ultra low contact area end effector| US6909839B2|2003-07-23|2005-06-21|Advanced Technology Materials, Inc.|Delivery systems for efficient vaporization of precursor source material| JP4298421B2|2003-07-23|2009-07-22|エスペック株式会社|サーマルプレートおよび試験装置| US7072028B2|2003-07-25|2006-07-04|Lightwind Corporation|Method and apparatus for chemical monitoring| US7122481B2|2003-07-25|2006-10-17|Intel Corporation|Sealing porous dielectrics with silane coupling reagents| US7399388B2|2003-07-25|2008-07-15|Applied Materials, Inc.|Sequential gas flow oxide deposition technique| US7361447B2|2003-07-30|2008-04-22|Hynix Semiconductor Inc.|Photoresist polymer and photoresist composition containing the same| WO2005017963A2|2003-08-04|2005-02-24|Asm America, Inc.|Surface preparation prior to deposition on germanium| US7682454B2|2003-08-07|2010-03-23|Sundew Technologies, Llc|Perimeter partition-valve with protected seals and associated small size process chambers and multiple chamber systems| US20050037578A1|2003-08-14|2005-02-17|Wei Wen Chen|[method for forming an oxide/ nitride/oxide stacked layer]| KR100536604B1|2003-08-14|2005-12-14|삼성전자주식회사|고밀도 플라즈마 증착법을 이용한 갭필 방법| JP2005072405A|2003-08-27|2005-03-17|Sony Corp|薄膜の形成方法および半導体装置の製造方法| US7422635B2|2003-08-28|2008-09-09|Micron Technology, Inc.|Methods and apparatus for processing microfeature workpieces, e.g., for depositing materials on microfeature workpieces| JP3881973B2|2003-08-29|2007-02-14|三菱重工業株式会社|窒化シリコン膜の成膜方法| US8152922B2|2003-08-29|2012-04-10|Asm America, Inc.|Gas mixer and manifold assembly for ALD reactor| JP4235066B2|2003-09-03|2009-03-04|日本エー・エス・エム株式会社|薄膜形成方法| KR20060064067A|2003-09-03|2006-06-12|동경 엘렉트론 주식회사|가스 처리 장치 및 처리 가스 토출 기구의 방열 방법| US7335277B2|2003-09-08|2008-02-26|Hitachi High-Technologies Corporation|Vacuum processing apparatus| US7235482B2|2003-09-08|2007-06-26|Taiwan Semiconductor Manufacturing Company, Ltd.|Method of manufacturing a contact interconnection layer containing a metal and nitrogen by atomic layer deposition for deep sub-micron semiconductor technology| KR100551138B1|2003-09-09|2006-02-10|어댑티브프라즈마테크놀로지 주식회사|균일한 플라즈마 발생을 위한 적응형 플라즈마 소스| US7414281B1|2003-09-09|2008-08-19|Spansion Llc|Flash memory with high-K dielectric material between substrate and gate| US7132201B2|2003-09-12|2006-11-07|Micron Technology, Inc.|Transparent amorphous carbon structure in semiconductor devices| US7056806B2|2003-09-17|2006-06-06|Micron Technology, Inc.|Microfeature workpiece processing apparatus and methods for controlling deposition of materials on microfeature workpieces| US7223970B2|2003-09-17|2007-05-29|Sionex Corporation|Solid-state gas flow generator and related systems, applications, and methods| CN101914760B|2003-09-19|2012-08-29|株式会社日立国际电气|半导体装置的制造方法及衬底处理装置| US6911399B2|2003-09-19|2005-06-28|Applied Materials, Inc.|Method of controlling critical dimension microloading of photoresist trimming process by selective sidewall polymer deposition| US20050098107A1|2003-09-24|2005-05-12|Du Bois Dale R.|Thermal processing system with cross-flow liner| US20070137794A1|2003-09-24|2007-06-21|Aviza Technology, Inc.|Thermal processing system with across-flow liner| JP4524554B2|2003-09-25|2010-08-18|信越化学工業株式会社|γ,δ−不飽和カルボン酸及びそのシリルエステルの製造方法、カルボキシル基を有する有機ケイ素化合物及びその製造方法| US7156380B2|2003-09-29|2007-01-02|Asm International, N.V.|Safe liquid source containers| US20050069651A1|2003-09-30|2005-03-31|Tokyo Electron Limited|Plasma processing system| US6875677B1|2003-09-30|2005-04-05|Sharp Laboratories Of America, Inc.|Method to control the interfacial layer for deposition of high dielectric constant films| US7205247B2|2003-09-30|2007-04-17|Aviza Technology, Inc.|Atomic layer deposition of hafnium-based high-k dielectric| US6982046B2|2003-10-01|2006-01-03|General Electric Company|Light sources with nanometer-sized VUV radiation-absorbing phosphors| US7408225B2|2003-10-09|2008-08-05|Asm Japan K.K.|Apparatus and method for forming thin film using upstream and downstream exhaust mechanisms| US8501594B2|2003-10-10|2013-08-06|Applied Materials, Inc.|Methods for forming silicon germanium layers| US6974781B2|2003-10-20|2005-12-13|Asm International N.V.|Reactor precoating for reduced stress and uniform CVD| WO2005042160A2|2003-10-29|2005-05-12|Asm America, Inc.|Reaction system for growing a thin film| US20050095859A1|2003-11-03|2005-05-05|Applied Materials, Inc.|Precursor delivery system with rate control| US20050101843A1|2003-11-06|2005-05-12|Welch Allyn, Inc.|Wireless disposable physiological sensor| US7329947B2|2003-11-07|2008-02-12|Sumitomo Mitsubishi Silicon Corporation|Heat treatment jig for semiconductor substrate| US8313277B2|2003-11-10|2012-11-20|Brooks Automation, Inc.|Semiconductor manufacturing process modules| US7071118B2|2003-11-12|2006-07-04|Veeco Instruments, Inc.|Method and apparatus for fabricating a conformal thin film on a substrate| US20050153571A1|2003-11-17|2005-07-14|Yoshihide Senzaki|Nitridation of high-k dielectric films| US7494941B2|2003-11-20|2009-02-24|Hitachi Kokusai Electric Inc.|Manufacturing method of semiconductor device, and substrate processing apparatus| KR100550641B1|2003-11-22|2006-02-09|주식회사 하이닉스반도체|산화하프늄과 산화알루미늄이 혼합된 유전막 및 그 제조방법| KR20050053417A|2003-12-02|2005-06-08|한국전자통신연구원|래디칼 보조 산화 장치| JP4725085B2|2003-12-04|2011-07-13|株式会社豊田中央研究所|非晶質炭素、非晶質炭素被膜部材および非晶質炭素膜の成膜方法| KR20050054122A|2003-12-04|2005-06-10|성명모|자외선 원자층 증착법을 이용한 박막 제조 방법| US20050120805A1|2003-12-04|2005-06-09|John Lane|Method and apparatus for substrate temperature control| US7143897B1|2003-12-09|2006-12-05|H20 International, Inc.|Water filter| JP2005172489A|2003-12-09|2005-06-30|Tokyo Yogyo Co Ltd|溶湯用測温プローブ| US7431966B2|2003-12-09|2008-10-07|Micron Technology, Inc.|Atomic layer deposition method of depositing an oxide on a substrate| KR100519798B1|2003-12-11|2005-10-10|삼성전자주식회사|향상된 생산성을 갖는 박막 형성 방법| EP1709214A1|2003-12-22|2006-10-11|Seco Tools Ab|Carrier body and method for coating cutting tools.| US7645341B2|2003-12-23|2010-01-12|Lam Research Corporation|Showerhead electrode assembly for plasma processing apparatuses| US20050148162A1|2004-01-02|2005-07-07|Huajie Chen|Method of preventing surface roughening during hydrogen pre-bake of SiGe substrates using chlorine containing gases| KR100620673B1|2004-01-05|2006-09-13|주식회사 하이닉스반도체|포토레지스트 세정액 조성물 및 이를 이용한 패턴 형성 방법| KR100593960B1|2004-01-09|2006-06-30|병호 최|광원자층 증착장치 및 증착방법| KR100549273B1|2004-01-15|2006-02-03|주식회사 테라세미콘|반도체 제조장치의 기판홀더| JP4513329B2|2004-01-16|2010-07-28|東京エレクトロン株式会社|処理装置| US7071051B1|2004-01-20|2006-07-04|Advanced Micro Devices, Inc.|Method for forming a thin, high quality buffer layer in a field effect transistor and related structure| US7005227B2|2004-01-21|2006-02-28|Intel Corporation|One component EUV photoresist| US7354847B2|2004-01-26|2008-04-08|Taiwan Semiconductor Manufacturing Company|Method of trimming technology| US8007591B2|2004-01-30|2011-08-30|Tokyo Electron Limited|Substrate holder having a fluid gap and method of fabricating the substrate holder| US7163393B2|2004-02-02|2007-01-16|Sumitomo Mitsubishi Silicon Corporation|Heat treatment jig for semiconductor silicon substrate| DE102004005385A1|2004-02-03|2005-10-20|Infineon Technologies Ag|Verwendung von gelösten Hafniumalkoxiden bzw. Zirkoniumalkoxiden als Precursoren für Hafniumoxid- und Hafniumoxynitridschichten bzw. Zirkoniumoxid- und Zirkoniumoxynitridschichten| US20050229849A1|2004-02-13|2005-10-20|Applied Materials, Inc.|High productivity plasma processing chamber| TWI263709B|2004-02-17|2006-10-11|Ind Tech Res Inst|Structure of strain relaxed thin Si/Ge epitaxial layer and fabricating method thereof| US20050181535A1|2004-02-17|2005-08-18|Yun Sun J.|Method of fabricating passivation layer for organic devices| US7088003B2|2004-02-19|2006-08-08|International Business Machines Corporation|Structures and methods for integration of ultralow-k dielectrics with improved reliability| US20050187647A1|2004-02-19|2005-08-25|Kuo-Hua Wang|Intelligent full automation controlled flow for a semiconductor furnace tool| JP4698251B2|2004-02-24|2011-06-08|アプライドマテリアルズインコーポレイテッド|可動又は柔軟なシャワーヘッド取り付け| US20100297391A1|2004-02-25|2010-11-25|General Nanotechnoloy Llc|Diamond capsules and methods of manufacture| US7958842B2|2004-02-27|2011-06-14|Hitachi Kokusai Electric Inc.|Substrate processing apparatus| US20050214458A1|2004-03-01|2005-09-29|Meiere Scott H|Low zirconium hafnium halide compositions| US20060062910A1|2004-03-01|2006-03-23|Meiere Scott H|Low zirconium, hafnium-containing compositions, processes for the preparation thereof and methods of use thereof| CA2552615C|2004-03-02|2014-08-26|Rosemount Inc.|Process device with improved power generation| US7407893B2|2004-03-05|2008-08-05|Applied Materials, Inc.|Liquid precursors for the CVD deposition of amorphous carbon films| US20050233477A1|2004-03-05|2005-10-20|Tokyo Electron Limited|Substrate processing apparatus, substrate processing method, and program for implementing the method| CN100373545C|2004-03-05|2008-03-05|东京毅力科创株式会社|基板处理装置、基板处理方法及程序| JP4246654B2|2004-03-08|2009-04-02|株式会社日立ハイテクノロジーズ|真空処理装置| US7079740B2|2004-03-12|2006-07-18|Applied Materials, Inc.|Use of amorphous carbon film as a hardmask in the fabrication of optical waveguides| KR100538096B1|2004-03-16|2005-12-21|삼성전자주식회사|원자층 증착 방법을 이용하는 커패시터 형성 방법| US7053010B2|2004-03-22|2006-05-30|Micron Technology, Inc.|Methods of depositing silicon dioxide comprising layers in the fabrication of integrated circuitry, methods of forming trench isolation, and methods of forming arrays of memory cells| DE102005045081B4|2004-09-29|2011-07-07|Covalent Materials Corp.|Suszeptor| US7524735B1|2004-03-25|2009-04-28|Novellus Systems, Inc|Flowable film dielectric gap fill process| US20050214457A1|2004-03-29|2005-09-29|Applied Materials, Inc.|Deposition of low dielectric constant films by N2O addition| US20050221021A1|2004-03-31|2005-10-06|Tokyo Electron Limited|Method and system for performing atomic layer deposition| KR20060060731A|2004-03-31|2006-06-05|가부시키가이샤 히다치 고쿠사이 덴키|반도체 장치의 제조 방법| US20050221618A1|2004-03-31|2005-10-06|Amrhein Frederick J|System for controlling a plenum output flow geometry| CN1292092C|2004-04-01|2006-12-27|南昌大学|用于金属有机化学气相沉积设备的双层进气喷头| US7585371B2|2004-04-08|2009-09-08|Micron Technology, Inc.|Substrate susceptors for receiving semiconductor substrates to be deposited upon| US20050227502A1|2004-04-12|2005-10-13|Applied Materials, Inc.|Method for forming an ultra low dielectric film by forming an organosilicon matrix and large porogens as a template for increased porosity| US7273526B2|2004-04-15|2007-09-25|Asm Japan K.K.|Thin-film deposition apparatus| US8074599B2|2004-05-12|2011-12-13|Applied Materials, Inc.|Plasma uniformity control by gas diffuser curvature| US7785672B2|2004-04-20|2010-08-31|Applied Materials, Inc.|Method of controlling the film properties of PECVD-deposited thin films| KR100840705B1|2004-04-21|2008-06-24|가부시키가이샤 히다치 고쿠사이 덴키|열처리장치| US7018941B2|2004-04-21|2006-03-28|Applied Materials, Inc.|Post treatment of low k dielectric films| USD553104S1|2004-04-21|2007-10-16|Tokyo Electron Limited|Absorption board for an electric chuck used in semiconductor manufacture| EP1756561A1|2004-04-28|2007-02-28|Sionex Corporation|System and method for ion species analysis with enhanced condition control and data interpretation using differential mobility spectrometers| US7708859B2|2004-04-30|2010-05-04|Lam Research Corporation|Gas distribution system having fast gas switching capabilities| US20070066038A1|2004-04-30|2007-03-22|Lam Research Corporation|Fast gas switching plasma processing apparatus| PT1745165E|2004-04-30|2011-06-30|Dichroic Cell S R L|Método para produzir substratos virtuais de ge para integração de iii/v sobre si| US7049247B2|2004-05-03|2006-05-23|International Business Machines Corporation|Method for fabricating an ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device made| US6982208B2|2004-05-03|2006-01-03|Taiwan Semiconductor Manufacturing Co., Ltd.|Method for producing high throughput strained-Si channel MOSFETS| JP2005322668A|2004-05-06|2005-11-17|Renesas Technology Corp|成膜装置および成膜方法| US7109114B2|2004-05-07|2006-09-19|Applied Materials, Inc.|HDP-CVD seasoning process for high power HDP-CVD gapfil to improve particle performance| US20050252447A1|2004-05-11|2005-11-17|Applied Materials, Inc.|Gas blocker plate for improved deposition| US8083853B2|2004-05-12|2011-12-27|Applied Materials, Inc.|Plasma uniformity control by gas diffuser hole design| US20050252449A1|2004-05-12|2005-11-17|Nguyen Son T|Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system| WO2005109486A1|2004-05-12|2005-11-17|Viatron Technologies Inc.|System for heat treatment of semiconductor device| US8328939B2|2004-05-12|2012-12-11|Applied Materials, Inc.|Diffuser plate with slit valve compensation| US7748138B2|2004-05-13|2010-07-06|Tokyo Electron Limited|Particle removal method for a substrate transfer mechanism and apparatus| US20060257563A1|2004-10-13|2006-11-16|Seok-Joo Doh|Method of fabricating silicon-doped metal oxide layer using atomic layer deposition technique| KR100469132B1|2004-05-18|2005-01-29|주식회사 아이피에스|주기적 펄스 두 단계 플라즈마 원자층 증착장치 및 방법| US8119210B2|2004-05-21|2012-02-21|Applied Materials, Inc.|Formation of a silicon oxynitride layer on a high-k dielectric material| US20060019033A1|2004-05-21|2006-01-26|Applied Materials, Inc.|Plasma treatment of hafnium-containing materials| US7271093B2|2004-05-24|2007-09-18|Asm Japan K.K.|Low-carbon-doped silicon oxide film and damascene structure using same| JP2005340251A|2004-05-24|2005-12-08|Shin Etsu Chem Co Ltd|プラズマ処理装置用のシャワープレート及びプラズマ処理装置| US20050266173A1|2004-05-26|2005-12-01|Tokyo Electron Limited|Method and apparatus of distributed plasma processing system for conformal ion stimulated nanoscale deposition process| US7622005B2|2004-05-26|2009-11-24|Applied Materials, Inc.|Uniformity control for low flow process and chamber to chamber matching| US7580388B2|2004-06-01|2009-08-25|Lg Electronics Inc.|Method and apparatus for providing enhanced messages on common control channel in wireless communication system| US7651583B2|2004-06-04|2010-01-26|Tokyo Electron Limited|Processing system and method for treating a substrate| US7037794B2|2004-06-09|2006-05-02|International Business Machines Corporation|Raised STI process for multiple gate ox and sidewall protection on strained Si/SGOI structure with elevated source/drain| AT446471T|2004-06-10|2009-11-15|Humanscale Corp|Mechanismus für die positionsverstellung einer angebrachten vorrichtung| US7132360B2|2004-06-10|2006-11-07|Freescale Semiconductor, Inc.|Method for treating a semiconductor surface to form a metal-containing layer| KR100589062B1|2004-06-10|2006-06-12|삼성전자주식회사|원자층 적층 방식의 박막 형성방법 및 이를 이용한 반도체소자의 커패시터 형성방법| US7396743B2|2004-06-10|2008-07-08|Singh Kaushal K|Low temperature epitaxial growth of silicon-containing films using UV radiation| JP4565897B2|2004-06-14|2010-10-20|株式会社Adeka|薄膜形成用原料及び薄膜の製造方法| US7399570B2|2004-06-18|2008-07-15|Hynix Semiconductor Inc.|Water-soluble negative photoresist polymer and composition containing the same| US7951262B2|2004-06-21|2011-05-31|Tokyo Electron Limited|Plasma processing apparatus and method| CN102256431B|2004-06-21|2014-09-17|东京毅力科创株式会社|等离子体处理装置和方法| JP4534619B2|2004-06-21|2010-09-01|株式会社Sumco|半導体シリコン基板用熱処理治具| KR20050121426A|2004-06-22|2005-12-27|삼성에스디아이 주식회사|탄소나노튜브 제조용 촉매의 제조 방법| US7244958B2|2004-06-24|2007-07-17|International Business Machines Corporation|Integration of strained Ge into advanced CMOS technology| US7073834B2|2004-06-25|2006-07-11|Applied Materials, Inc.|Multiple section end effector assembly| CN101684550B|2004-06-28|2012-04-11|剑桥纳米科技公司|设计为用于气相沉积系统中的阱| KR100614801B1|2004-07-05|2006-08-22|삼성전자주식회사|반도체 장치의 막 형성방법| US7363195B2|2004-07-07|2008-04-22|Sensarray Corporation|Methods of configuring a sensor network| WO2006006129A2|2004-07-09|2006-01-19|Philips Intellectual Property & Standards Gmbh|Uvc/vuv dielectric barrier discharge lamp with reflector| US7422653B2|2004-07-13|2008-09-09|Applied Materials, Inc.|Single-sided inflatable vertical slit valve| WO2006006377A1|2004-07-13|2006-01-19|Hitachi Kokusai Electric Inc.|基板処理装置および半導体装置の製造方法| US7094442B2|2004-07-13|2006-08-22|Applied Materials, Inc.|Methods for the reduction and elimination of particulate contamination with CVD of amorphous carbon| US7409263B2|2004-07-14|2008-08-05|Applied Materials, Inc.|Methods and apparatus for repositioning support for a substrate carrier| KR100578819B1|2004-07-15|2006-05-11|삼성전자주식회사|원자층 적층 방법과 이를 이용한 게이트 구조물의 제조방법 및 커패시터의 제조 방법| US20060016783A1|2004-07-22|2006-01-26|Dingjun Wu|Process for titanium nitride removal| US20060019502A1|2004-07-23|2006-01-26|Park Beom S|Method of controlling the film properties of a CVD-deposited silicon nitride film| US20060021703A1|2004-07-29|2006-02-02|Applied Materials, Inc.|Dual gas faceplate for a showerhead in a semiconductor wafer processing system| US7689687B2|2004-07-30|2010-03-30|Fisher-Rosemount Systems, Inc.|Communication controller with automatic time stamping| KR100689401B1|2004-07-30|2007-03-08|주식회사 하이닉스반도체|포토레지스트 중합체 및 이를 함유하는 포토레지스트 조성물| JP4417197B2|2004-07-30|2010-02-17|住友大阪セメント株式会社|サセプタ装置| JP2008508710A|2004-07-30|2008-03-21|エルピーイーソシエタペルアチオニ|位置制御されるサセプタを備えるエピタキシャルリアクター| US20060021572A1|2004-07-30|2006-02-02|Colorado School Of Mines|High Vacuum Plasma-Assisted Chemical Vapor Deposition System| JP4718141B2|2004-08-06|2011-07-06|東京エレクトロン株式会社|薄膜形成方法及び薄膜形成装置| US7470633B2|2004-08-09|2008-12-30|Asm Japan K.K.|Method of forming a carbon polymer film using plasma CVD| US7504344B2|2004-08-09|2009-03-17|Asm Japan K.K.|Method of forming a carbon polymer film using plasma CVD| KR101114219B1|2004-08-09|2012-03-05|주성엔지니어링|광원을 포함하는 원자층 증착장치 및 이를 이용한 증착방법| JP2006059931A|2004-08-18|2006-03-02|Canon Anelva Corp|急速加熱処理装置| US20060040054A1|2004-08-18|2006-02-23|Pearlstein Ronald M|Passivating ALD reactor chamber internal surfaces to prevent residue buildup| US7119032B2|2004-08-23|2006-10-10|Air Products And Chemicals, Inc.|Method to protect internal components of semiconductor processing equipment using layered superlattice materials| KR101071136B1|2004-08-27|2011-10-10|엘지디스플레이 주식회사|평판표시장치의 제조를 위한 기판의 박막처리장치| ITMI20041677A1|2004-08-30|2004-11-30|E T C Epitaxial Technology Ct|Processo di pulitura e processo operativo per un reattore cvd.| DE102004042431B4|2004-08-31|2008-07-03|Schott Ag|Verfahren und Vorrichtung zur Plasmabeschichtung von Werkstücken mit spektraler Auswertung der Prozessparameter und Verwendung der Vorrichtung| US8158488B2|2004-08-31|2012-04-17|Micron Technology, Inc.|Method of increasing deposition rate of silicon dioxide on a catalyst| US7910288B2|2004-09-01|2011-03-22|Micron Technology, Inc.|Mask material conversion| US7253084B2|2004-09-03|2007-08-07|Asm America, Inc.|Deposition from liquid sources| JP2006108629A|2004-09-10|2006-04-20|Toshiba Corp|半導体装置の製造方法| US20060137609A1|2004-09-13|2006-06-29|Puchacz Jerzy P|Multi-single wafer processing apparatus| US7582891B2|2004-09-16|2009-09-01|Arizona Board Of Regents, A Corporate Body Organized Under Arizona Law, Acting On Behalf Of Arizona State University|Materials and optical devices based on group IV quantum wells grown on Si-Ge-Sn buffered silicon| US20060060930A1|2004-09-17|2006-03-23|Metz Matthew V|Atomic layer deposition of high dielectric constant gate dielectrics| JP4698190B2|2004-09-22|2011-06-08|川惣電機工業株式会社|測温装置| JP2006097044A|2004-09-28|2006-04-13|L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude|成膜用前駆体、ルテニウム含有膜の成膜方法、ルテニウム膜の成膜方法、ルテニウム酸化物膜の成膜方法およびルテニウム酸塩膜の成膜方法| JP4572100B2|2004-09-28|2010-10-27|日本エー・エス・エム株式会社|プラズマ処理装置| US7806587B2|2004-09-29|2010-10-05|Citizen Holdings Co., Ltd.|Electronic clinical thermometer and method of producing the same| US7241475B2|2004-09-30|2007-07-10|The Aerospace Corporation|Method for producing carbon surface films by plasma exposure of a carbide compound| US7361958B2|2004-09-30|2008-04-22|Intel Corporation|Nonplanar transistors with metal gate electrodes| US6874247B1|2004-10-12|2005-04-05|Tsang-Hung Hsu|Toothbrush dryer| US20060099782A1|2004-10-15|2006-05-11|Massachusetts Institute Of Technology|Method for forming an interface between germanium and other materials| CN101645394A|2004-10-19|2010-02-10|佳能安内华股份有限公司|基板支撑、运送用托盘| US7790633B1|2004-10-26|2010-09-07|Novellus Systems, Inc.|Sequential deposition/anneal film densification method| JP2006128188A|2004-10-26|2006-05-18|Nikon Corp|基板搬送装置、基板搬送方法および露光装置| US7163900B2|2004-11-01|2007-01-16|Infineon Technologies Ag|Using polydentate ligands for sealing pores in low-k dielectrics| JP2006135161A|2004-11-08|2006-05-25|Canon Inc|絶縁膜の形成方法及び装置| JP4435666B2|2004-11-09|2010-03-24|東京エレクトロン株式会社|プラズマ処理方法、成膜方法| KR100782369B1|2004-11-11|2007-12-07|삼성전자주식회사|반도체 제조장치| US7678682B2|2004-11-12|2010-03-16|Axcelis Technologies, Inc.|Ultraviolet assisted pore sealing of porous low k dielectric films| US7428958B2|2004-11-15|2008-09-30|Nikon Corporation|Substrate conveyor apparatus, substrate conveyance method and exposure apparatus| TWI588872B|2004-11-18|2017-06-21|尼康股份有限公司|Position measurement method, position control method, measurement method, loading method, exposure method and exposure apparatus, and device manufacturing method| KR100773755B1|2004-11-18|2007-11-09|주식회사 아이피에스|플라즈마 ald 박막증착방법| US20060107898A1|2004-11-19|2006-05-25|Blomberg Tom E|Method and apparatus for measuring consumption of reactants| US20060108221A1|2004-11-24|2006-05-25|William Goodwin|Method and apparatus for improving measuring accuracy in gas monitoring systems| WO2006056091A1|2004-11-24|2006-06-01|Oc Oerlikon Balzers Ag|Vacuum processing chamber for very large area substrates| US20060113806A1|2004-11-29|2006-06-01|Asm Japan K.K.|Wafer transfer mechanism| US7722737B2|2004-11-29|2010-05-25|Applied Materials, Inc.|Gas distribution system for improved transient phase deposition| JP2006153706A|2004-11-30|2006-06-15|Taiyo Nippon Sanso Corp|測温体および気相成長装置| US20060113675A1|2004-12-01|2006-06-01|Chung-Liang Chang|Barrier material and process for Cu interconnect| US7368377B2|2004-12-09|2008-05-06|Interuniversitair Microelektronica Centrum Vzw|Method for selective deposition of a thin self-assembled monolayer| US7271463B2|2004-12-10|2007-09-18|Micron Technology, Inc.|Trench insulation structures including an oxide liner that is thinner along the walls of the trench than along the base| US7235501B2|2004-12-13|2007-06-26|Micron Technology, Inc.|Lanthanum hafnium oxide dielectrics| US20060127067A1|2004-12-13|2006-06-15|General Electric Company|Fast heating and cooling wafer handling assembly and method of manufacturing thereof| US7290813B2|2004-12-16|2007-11-06|Asyst Technologies, Inc.|Active edge grip rest pad| KR100558922B1|2004-12-16|2006-03-10|퓨전에이드|박막 증착장치 및 방법| US7396732B2|2004-12-17|2008-07-08|Interuniversitair Microelektronica Centrum Vzw |Formation of deep trench airgaps and related applications| US7255747B2|2004-12-22|2007-08-14|Sokudo Co., Ltd.|Coat/develop module with independent stations| JP4560681B2|2004-12-24|2010-10-13|ミネベア株式会社|多灯式放電灯点灯装置| KR20060076714A|2004-12-28|2006-07-04|에이에스엠지니텍코리아 주식회사|원자층 증착기| JP2006186271A|2004-12-28|2006-07-13|Sharp Corp|気相成長装置および成膜済基板の製造方法| EP1844138A2|2004-12-29|2007-10-17|Biogen Idec MA Inc.|Bioreactor process control system and method| US20060205223A1|2004-12-30|2006-09-14|Smayling Michael C|Line edge roughness reduction compatible with trimming| US7482247B1|2004-12-30|2009-01-27|Novellus Systems, Inc.|Conformal nanolaminate dielectric deposition and etch bag gap fill process| US7846499B2|2004-12-30|2010-12-07|Asm International N.V.|Method of pulsing vapor precursors in an ALD reactor| JP2006188729A|2005-01-05|2006-07-20|Hitachi Kokusai Electric Inc|基板処理装置| US7560395B2|2005-01-05|2009-07-14|Micron Technology, Inc.|Atomic layer deposited hafnium tantalum oxide dielectrics| US7598516B2|2005-01-07|2009-10-06|International Business Machines Corporation|Self-aligned process for nanotube/nanowire FETs| US7169668B2|2005-01-09|2007-01-30|United Microelectronics Corp.|Method of manufacturing a split-gate flash memory device| KR20070091332A|2005-01-18|2007-09-10|에이에스엠 아메리카, 인코포레이티드|웨이퍼 지지핀 어셈블리| JP4934595B2|2005-01-18|2012-05-16|エーエスエムアメリカインコーポレイテッド|薄膜成長用反応装置| US20060156980A1|2005-01-19|2006-07-20|Samsung Electronics Co., Ltd.|Apparatus including 4-way valve for fabricating semiconductor device, method of controlling valve, and method of fabricating semiconductor device using the apparatus| US7964380B2|2005-01-21|2011-06-21|Argylia Technologies|Nanoparticles for manipulation of biopolymers and methods of thereof| JP2006203120A|2005-01-24|2006-08-03|Toshiba Corp|半導体装置の製造方法| KR100640550B1|2005-01-26|2006-10-31|주식회사 아이피에스|플라즈마 ald 박막증착방법| US20060240187A1|2005-01-27|2006-10-26|Applied Materials, Inc.|Deposition of an intermediate catalytic layer on a barrier layer for copper metallization| US7438949B2|2005-01-27|2008-10-21|Applied Materials, Inc.|Ruthenium containing layer deposition method| CN101090953B|2005-01-31|2012-10-03|宇部兴产株式会社|红色氮化物荧光材料及其制造方法| US7235492B2|2005-01-31|2007-06-26|Applied Materials, Inc.|Low temperature etchant for treatment of silicon-containing surfaces| US7298009B2|2005-02-01|2007-11-20|Infineon Technologies Ag|Semiconductor method and device with mixed orientation substrate| US7135402B2|2005-02-01|2006-11-14|Taiwan Semiconductor Manufacturing Company, Ltd.|Sealing pores of low-k dielectrics using CxHy| US7816236B2|2005-02-04|2010-10-19|Asm America Inc.|Selective deposition of silicon-containing films| KR100585178B1|2005-02-05|2006-05-30|삼성전자주식회사|금속 게이트 전극을 가지는 FinFET을 포함하는반도체 소자 및 그 제조방법| US20060182885A1|2005-02-14|2006-08-17|Xinjian Lei|Preparation of metal silicon nitride films via cyclic deposition| WO2006087893A1|2005-02-17|2006-08-24|Hitachi Kokusai Electric Inc.|基板処理方法および基板処理装置| KR100924055B1|2005-02-17|2009-10-27|가부시키가이샤 히다치 고쿠사이 덴키|반도체 디바이스의 제조 방법 및 기판 처리 장치| WO2006091510A1|2005-02-22|2006-08-31|Asm America, Inc.|Plasma pre-treating surfaces for atomic layer deposition| US7410340B2|2005-02-24|2008-08-12|Asyst Technologies, Inc.|Direct tool loading| KR100667598B1|2005-02-25|2007-01-12|주식회사 아이피에스|반도체 처리 장치| JP4764028B2|2005-02-28|2011-08-31|株式会社日立ハイテクノロジーズ|プラズマ処理方法| KR100854995B1|2005-03-02|2008-08-28|삼성전자주식회사|고밀도 플라즈마 화학 기상 증착 장치| US7629267B2|2005-03-07|2009-12-08|Asm International N.V.|High stress nitride film and method for formation thereof| US6972478B1|2005-03-07|2005-12-06|Advanced Micro Devices, Inc.|Integrated circuit and method for its manufacture| JP4258518B2|2005-03-09|2009-04-30|東京エレクトロン株式会社|成膜方法、成膜装置及び記憶媒体| JP4214124B2|2005-03-14|2009-01-28|株式会社バイオエコーネット|耳式体温計| US8123968B2|2005-08-25|2012-02-28|Round Rock Research, Llc|Multiple deposition for integration of spacers in pitch multiplication process| US7211525B1|2005-03-16|2007-05-01|Novellus Systems, Inc.|Hydrogen treatment enhanced gap fill| US7376520B2|2005-03-16|2008-05-20|Lam Research Corporation|System and method for gas flow verification| US8974868B2|2005-03-21|2015-03-10|Tokyo Electron Limited|Post deposition plasma cleaning system and method| US7314835B2|2005-03-21|2008-01-01|Tokyo Electron Limited|Plasma enhanced atomic layer deposition system and method| US20060211259A1|2005-03-21|2006-09-21|Maes Jan W|Silicon oxide cap over high dielectric constant films| KR100669828B1|2005-03-22|2007-01-16|성균관대학교산학협력단|중성빔을 이용한 원자층 증착장치 및 이 장치를 이용한원자층 증착방법| KR100655431B1|2005-03-23|2006-12-11|삼성전자주식회사|웨이퍼와의 접촉 면적을 최소화할 수 있는 웨이퍼 캐리어 및 이를 이용한 웨이퍼 세정방법| US7422636B2|2005-03-25|2008-09-09|Tokyo Electron Limited|Plasma enhanced atomic layer deposition system having reduced contamination| JP2006278058A|2005-03-28|2006-10-12|Matsushita Electric Works Ltd|プラズマ処理装置| US7282415B2|2005-03-29|2007-10-16|Freescale Semiconductor, Inc.|Method for making a semiconductor device with strain enhancement| US20060226117A1|2005-03-29|2006-10-12|Bertram Ronald T|Phase change based heating element system and method| USD559993S1|2005-03-30|2008-01-15|Tokyo Electron Limited|Cover ring| USD559994S1|2005-03-30|2008-01-15|Tokyo Electron Limited|Cover ring| US20060228898A1|2005-03-30|2006-10-12|Cory Wajda|Method and system for forming a high-k dielectric layer| US7479198B2|2005-04-07|2009-01-20|Timothy D'Annunzio|Methods for forming nanofiber adhesive structures| EP1866963A4|2005-04-07|2009-07-08|Aviza Tech Inc|MULTICOMPOSING FILMS, MULTICOMPONENTS WITH A HIGH DIELECTRIC CONSTANT, AND METHODS OF DEPOSITING THESE FILMS| KR100640640B1|2005-04-19|2006-10-31|삼성전자주식회사|미세 피치의 하드마스크를 이용한 반도체 소자의 미세 패턴형성 방법| JP4694878B2|2005-04-20|2011-06-08|Okiセミコンダクタ株式会社|半導体製造装置および半導体装置の製造方法| US20080274369A1|2005-04-21|2008-11-06|Lee Eal H|Novel Ruthenium-Based Materials and Ruthenium Alloys, Their Use in Vapor Deposition or Atomic Layer Deposition and Films Produced Therefrom| US7160819B2|2005-04-25|2007-01-09|Sharp Laboratories Of America, Inc.|Method to perform selective atomic layer deposition of zinc oxide| US8137465B1|2005-04-26|2012-03-20|Novellus Systems, Inc.|Single-chamber sequential curing of semiconductor wafers| WO2006114781A2|2005-04-26|2006-11-02|University College Cork - National University Of Ireland, Cork|Deposition of materials| US7544398B1|2005-04-26|2009-06-09|The Regents Of The Univesity Of California|Controlled nano-doping of ultra thin films| US7351057B2|2005-04-27|2008-04-01|Asm International N.V.|Door plate for furnace| US7084060B1|2005-05-04|2006-08-01|International Business Machines Corporation|Forming capping layer over metal wire structure using selective atomic layer deposition| US7169018B2|2005-05-04|2007-01-30|Micrel, Incorporated|Wafer carrier checker and method of using same| US7915173B2|2005-05-05|2011-03-29|Macronix International Co., Ltd.|Shallow trench isolation structure having reduced dislocation density| US7214630B1|2005-05-06|2007-05-08|Novellus Systems, Inc.|PMOS transistor with compressive dielectric capping layer| US20060251827A1|2005-05-09|2006-11-09|Applied Materials, Inc.|Tandem uv chamber for curing dielectric materials| JP4666473B2|2005-05-12|2011-04-06|大日本スクリーン製造株式会社|基板熱処理装置| JP2006319261A|2005-05-16|2006-11-24|Dainippon Screen Mfg Co Ltd|基板処理装置| US7875556B2|2005-05-16|2011-01-25|Air Products And Chemicals, Inc.|Precursors for CVD silicon carbo-nitride and silicon nitride films| US7312162B2|2005-05-17|2007-12-25|Applied Materials, Inc.|Low temperature plasma deposition process for carbon layer deposition| US7101763B1|2005-05-17|2006-09-05|International Business Machines Corporation|Low capacitance junction-isolation for bulk FinFET technology| US20060260545A1|2005-05-17|2006-11-23|Kartik Ramaswamy|Low temperature absorption layer deposition and high speed optical annealing system| US7109098B1|2005-05-17|2006-09-19|Applied Materials, Inc.|Semiconductor junction formation process including low temperature plasma deposition of an optical absorption layer and high speed optical annealing| US7422775B2|2005-05-17|2008-09-09|Applied Materials, Inc.|Process for low temperature plasma deposition of an optical absorption layer and high speed optical annealing| KR100731164B1|2005-05-19|2007-06-20|주식회사 피에조닉스|샤워헤드를 구비한 화학기상 증착 방법 및 장치| US20070155138A1|2005-05-24|2007-07-05|Pierre Tomasini|Apparatus and method for depositing silicon germanium films| US7732342B2|2005-05-26|2010-06-08|Applied Materials, Inc.|Method to increase the compressive stress of PECVD silicon nitride films| US8138104B2|2005-05-26|2012-03-20|Applied Materials, Inc.|Method to increase silicon nitride tensile stress using nitrogen plasma in-situ treatment and ex-situ UV cure| US8129290B2|2005-05-26|2012-03-06|Applied Materials, Inc.|Method to increase tensile stress of silicon nitride films using a post PECVD deposition UV cure| US20060269690A1|2005-05-27|2006-11-30|Asm Japan K.K.|Formation technology for nanoparticle films having low dielectric constant| TW200709296A|2005-05-31|2007-03-01|Tokyo Electron Ltd|Plasma treatment apparatus and plasma treatment method| US7608490B2|2005-06-02|2009-10-27|Semiconductor Energy Laboratory Co., Ltd.|Semiconductor device and manufacturing method thereof| US20060275933A1|2005-06-02|2006-12-07|Applied Materials, Inc.|Thermally conductive ceramic tipped contact thermocouple| JP2008544484A|2005-06-09|2008-12-04|アクセリステクノロジーズインコーポレーテッド|プリメタルおよび/またはシャロートレンチアイソレーションに用いられるスピン−オン誘電体材料のための紫外線硬化処理方法| WO2006134930A1|2005-06-13|2006-12-21|Hitachi Kokusai Electric Inc.|半導体装置の製造方法、及び基板処理装置| US20060278524A1|2005-06-14|2006-12-14|Stowell Michael W|System and method for modulating power signals to control sputtering| JP4853857B2|2005-06-15|2012-01-11|東京エレクトロン株式会社|基板の処理方法,コンピュータ読み取り可能な記録媒体及び基板処理装置| JP4753173B2|2005-06-17|2011-08-24|株式会社フジキン|流体制御装置| JP4728708B2|2005-06-17|2011-07-20|日本電気株式会社|配線基板及びその製造方法| US7473655B2|2005-06-17|2009-01-06|Applied Materials, Inc.|Method for silicon based dielectric chemical vapor deposition| US20060286774A1|2005-06-21|2006-12-21|Applied Materials. Inc.|Method for forming silicon-containing materials during a photoexcitation deposition process| US7648927B2|2005-06-21|2010-01-19|Applied Materials, Inc.|Method for forming silicon-containing materials during a photoexcitation deposition process| US20060286819A1|2005-06-21|2006-12-21|Applied Materials, Inc.|Method for silicon based dielectric deposition and clean with photoexcitation| US7601652B2|2005-06-21|2009-10-13|Applied Materials, Inc.|Method for treating substrates and films with photoexcitation| US7651955B2|2005-06-21|2010-01-26|Applied Materials, Inc.|Method for forming silicon-containing materials during a photoexcitation deposition process| JP2007005582A|2005-06-24|2007-01-11|Asm Japan Kk|基板搬送装置及びそれを搭載した半導体基板製造装置| US7575990B2|2005-07-01|2009-08-18|Macronix International Co., Ltd.|Method of forming self-aligned contacts and local interconnects| JP4954995B2|2005-07-07|2012-06-20|エムケーエスインストルメンツインコーポレーテッド|マルチ・チャンバ・ツールのためのオゾン・システム| US20070031598A1|2005-07-08|2007-02-08|Yoshikazu Okuyama|Method for depositing silicon-containing films| KR100775789B1|2005-07-09|2007-11-13|강방권|소수성 또는 초소수성 처리를 위하여 상압 플라즈마를이용한 표면코팅방법| US20070010072A1|2005-07-09|2007-01-11|Aviza Technology, Inc.|Uniform batch film deposition process and films so produced| WO2007008939A2|2005-07-11|2007-01-18|Brooks Automation, Inc.|Apparatus with on-the-fly workpiece centering| US7762755B2|2005-07-11|2010-07-27|Brooks Automation, Inc.|Equipment storage for substrate processing apparatus| US7579285B2|2005-07-11|2009-08-25|Imec|Atomic layer deposition method for depositing a layer| TWI316130B|2005-07-13|2009-10-21|Actherm Inc|| US7314838B2|2005-07-21|2008-01-01|Taiwan Semiconductor Manufacturing Co., Ltd.|Method for forming a high density dielectric film by chemical vapor deposition| US7271044B2|2005-07-21|2007-09-18|International Business Machines Corporation|CMOS technology| JP2007035747A|2005-07-25|2007-02-08|Sumitomo Electric Ind Ltd|ウェハ保持体およびそれを搭載したウェハプローバ| JP2007035899A|2005-07-27|2007-02-08|Sumitomo Electric Ind Ltd|ウエハプローバ用ウエハ保持体及びそれを搭載したウエハプローバ| TWI313486B|2005-07-28|2009-08-11|Nuflare Technology Inc|Position measurement apparatus and method and writing apparatus and method| USD593585S1|2005-07-29|2009-06-02|Tokyo Electron Limited|Top panel for microwave introduction window of a plasma processing apparatus| USD571831S1|2005-07-29|2008-06-24|Tokyo Electron Limited|Top panel for microwave introduction window of a plasma processing apparatus| TWI261313B|2005-07-29|2006-09-01|Ind Tech Res Inst|A method for a large dimension plasma enhanced atomic layer deposition cavity and an apparatus thereof| TWI327339B|2005-07-29|2010-07-11|Nuflare Technology Inc|Vapor phase growing apparatus and vapor phase growing method| USD571383S1|2005-07-29|2008-06-17|Tokyo Electron Limited|Top panel for microwave introduction window of a plasma processing apparatus| US20070028842A1|2005-08-02|2007-02-08|Makoto Inagawa|Vacuum chamber bottom| US20090045829A1|2005-08-04|2009-02-19|Sumitomo Electric Industries, Ltd.|Wafer holder for wafer prober and wafer prober equipped with same| CN101238095B|2005-08-04|2011-08-10|东曹株式会社|含有金属的化合物,其制备方法、含有金属的薄膜和其形成方法| US20070037412A1|2005-08-05|2007-02-15|Tokyo Electron Limited|In-situ atomic layer deposition| KR20070110910A|2005-08-05|2007-11-20|동경 엘렉트론 주식회사|기판 처리 장치 및 기판 탑재대| US7335611B2|2005-08-08|2008-02-26|Applied Materials, Inc.|Copper conductor annealing process employing high speed optical annealing with a low temperature-deposited optical absorber layer| US7312148B2|2005-08-08|2007-12-25|Applied Materials, Inc.|Copper barrier reflow process employing high speed optical annealing| US7429532B2|2005-08-08|2008-09-30|Applied Materials, Inc.|Semiconductor substrate process using an optically writable carbon-containing mask| US7323401B2|2005-08-08|2008-01-29|Applied Materials, Inc.|Semiconductor substrate process using a low temperature deposited carbon-containing hard mask| JP4666215B2|2005-08-10|2011-04-06|株式会社ダイフク|物品搬送装置| US7229873B2|2005-08-10|2007-06-12|Texas Instruments Incorporated|Process for manufacturing dual work function metal gates in a microelectronics device| WO2007020874A1|2005-08-16|2007-02-22|Hitachi Kokusai Electric Inc.|薄膜形成方法および半導体デバイスの製造方法| US7718225B2|2005-08-17|2010-05-18|Applied Materials, Inc.|Method to control semiconductor film deposition characteristics| US20090011145A1|2005-08-24|2009-01-08|Electronics And Telecommunications Research Instit Ute|Method of Manufacturing Vanadium Oxide Thin Film| USD557226S1|2005-08-25|2007-12-11|Hitachi High-Technologies Corporation|Electrode cover for a plasma processing apparatus| USD556704S1|2005-08-25|2007-12-04|Hitachi High-Technologies Corporation|Grounded electrode for a plasma processing apparatus| US7402534B2|2005-08-26|2008-07-22|Applied Materials, Inc.|Pretreatment processes within a batch ALD reactor| US7393736B2|2005-08-29|2008-07-01|Micron Technology, Inc.|Atomic layer deposition of Zrx Hfy Sn1-x-y O2 films as high k gate dielectrics| JP4815600B2|2005-09-06|2011-11-16|株式会社テラセミコン|多結晶シリコン薄膜製造方法及びその製造装置| TW200714741A|2005-09-08|2007-04-16|Applied Materials Inc|Patterned electroless metallization processes for large area electronics| US20070056843A1|2005-09-13|2007-03-15|Applied Materials, Inc.|Method of processing a substrate using a large-area magnetron sputtering chamber with individually controlled sputtering zones| US20070056850A1|2005-09-13|2007-03-15|Applied Materials, Inc.|Large-area magnetron sputtering chamber with individually controlled sputtering zones| USD613829S1|2006-09-13|2010-04-13|Hayward Industries, Inc.|Circular suction outlet assembly cover| JP5017950B2|2005-09-21|2012-09-05|株式会社Sumco|エピタキシャル成長装置の温度管理方法| JP2007088113A|2005-09-21|2007-04-05|Sony Corp|半導体装置の製造方法| US20070066084A1|2005-09-21|2007-03-22|Cory Wajda|Method and system for forming a layer with controllable spstial variation| US20070065578A1|2005-09-21|2007-03-22|Applied Materials, Inc.|Treatment processes for a batch ALD reactor| US7578616B2|2005-09-22|2009-08-25|Lam Research Corporation|Apparatus for determining a temperature of a substrate and methods therefor| US20090137055A1|2005-09-30|2009-05-28|Bognar John A|Measuring nitrogen oxides and other gases by ozone formation| US7691204B2|2005-09-30|2010-04-06|Applied Materials, Inc.|Film formation apparatus and methods including temperature and emissivity/pattern compensation| USD541125S1|2005-10-05|2007-04-24|Powers Products Iii, Llc|Fastener slide| US7754906B2|2005-10-07|2010-07-13|Air Products And Chemicals, Inc.|Ti, Ta, Hf, Zr and related metal silicon amides for ALD/CVD of metal-silicon nitrides, oxides or oxynitrides| US7785658B2|2005-10-07|2010-08-31|Asm Japan K.K.|Method for forming metal wiring structure| KR101153118B1|2005-10-12|2012-06-07|파나소닉 주식회사|플라즈마 처리장치 및 플라즈마 처리방법| US7294581B2|2005-10-17|2007-11-13|Applied Materials, Inc.|Method for fabricating silicon nitride spacer structures| US7691205B2|2005-10-18|2010-04-06|Asm Japan K.K.|Substrate-supporting device| KR100725108B1|2005-10-18|2007-06-04|삼성전자주식회사|가스 공급 장치 및 이를 갖는 기판 가공 장치| US7727828B2|2005-10-20|2010-06-01|Applied Materials, Inc.|Method for fabricating a gate dielectric of a field effect transistor| US7638951B2|2005-10-27|2009-12-29|Luxim Corporation|Plasma lamp with stable feedback amplification and method therefor| US7994721B2|2005-10-27|2011-08-09|Luxim Corporation|Plasma lamp and methods using a waveguide body and protruding bulb| US7906910B2|2005-10-27|2011-03-15|Luxim Corporation|Plasma lamp with conductive material positioned relative to RF feed| US8993055B2|2005-10-27|2015-03-31|Asm International N.V.|Enhanced thin film deposition| US20070095283A1|2005-10-31|2007-05-03|Galewski Carl J|Pumping System for Atomic Layer Deposition| US7399712B1|2005-10-31|2008-07-15|Novellus Systems, Inc.|Method for etching organic hardmasks| DE102005051994B4|2005-10-31|2011-12-01|Globalfoundries Inc.|Verformungsverfahrenstechnik in Transistoren auf Siliziumbasis unter Anwendung eingebetteter Halbleiterschichten mit Atomen mit einem großen kovalenten Radius| JP5044931B2|2005-10-31|2012-10-10|東京エレクトロン株式会社|ガス供給装置及び基板処理装置| US7682946B2|2005-11-04|2010-03-23|Applied Materials, Inc.|Apparatus and process for plasma-enhanced atomic layer deposition| US7695808B2|2005-11-07|2010-04-13|3M Innovative Properties Company|Thermal transfer coating| US7622378B2|2005-11-09|2009-11-24|Tokyo Electron Limited|Multi-step system and method for curing a dielectric film| US7561982B2|2005-11-10|2009-07-14|Shake Awake Products, LLC|Physical attribute recording method and system| JP4940635B2|2005-11-14|2012-05-30|東京エレクトロン株式会社|加熱装置、熱処理装置及び記憶媒体| KR100660890B1|2005-11-16|2006-12-26|삼성전자주식회사|Ald를 이용한 이산화실리콘막 형성 방법| GB2432363B|2005-11-16|2010-06-23|Epichem Ltd|Hafnocene and zirconocene precursors, and use thereof in atomic layer deposition| US7968437B2|2005-11-18|2011-06-28|Hitachi Kokusai Electric Inc.|Semiconductor device manufacturing method and substrate processing apparatus| US20070116873A1|2005-11-18|2007-05-24|Tokyo Electron Limited|Apparatus for thermal and plasma enhanced vapor deposition and method of operating| US20070116888A1|2005-11-18|2007-05-24|Tokyo Electron Limited|Method and system for performing different deposition processes within a single chamber| US7897217B2|2005-11-18|2011-03-01|Tokyo Electron Limited|Method and system for performing plasma enhanced atomic layer deposition| US7629277B2|2005-11-23|2009-12-08|Honeywell International Inc.|Frag shield| US7912439B2|2005-11-25|2011-03-22|Semiconductor Energy Laboratory Co., Ltd.|Semiconductor device and operating method thereof| US20070125762A1|2005-12-01|2007-06-07|Applied Materials, Inc.|Multi-zone resistive heater| US7862683B2|2005-12-02|2011-01-04|Tokyo Electron Limited|Chamber dry cleaning| US7963917B2|2005-12-05|2011-06-21|Echo Therapeutics, Inc.|System and method for continuous non-invasive glucose monitoring| US7857506B2|2005-12-05|2010-12-28|Sencal Llc|Disposable, pre-calibrated, pre-validated sensors for use in bio-processing applications| US7563715B2|2005-12-05|2009-07-21|Asm International N.V.|Method of producing thin films| US8003919B2|2005-12-06|2011-08-23|Dainippon Screen Mfg. Co., Ltd.|Substrate heat treatment apparatus| US8197599B2|2005-12-06|2012-06-12|Ulvac, Inc.|Gas head and thin-film manufacturing apparatus| JP4666496B2|2005-12-07|2011-04-06|大日本スクリーン製造株式会社|基板熱処理装置| JP4803578B2|2005-12-08|2011-10-26|東京エレクトロン株式会社|成膜方法| US7592251B2|2005-12-08|2009-09-22|Micron Technology, Inc.|Hafnium tantalum titanium oxide films| US7713584B2|2005-12-22|2010-05-11|Asm International N.V.|Process for producing oxide films| US7381644B1|2005-12-23|2008-06-03|Novellus Systems, Inc.|Pulsed PECVD method for modulating hydrogen content in hard mask| JP4629574B2|2005-12-27|2011-02-09|日本発條株式会社|基板支持装置と、その製造方法| KR101296911B1|2005-12-28|2013-08-14|엘지디스플레이 주식회사|평판표시소자의 제조장치 및 그의 정전기량 검출장치 및검출방법| TWM292692U|2005-12-29|2006-06-21|Powerchip Semiconductor Corp|Thermocouple apparatus| US7582555B1|2005-12-29|2009-09-01|Novellus Systems, Inc.|CVD flowable gap fill| TWI284390B|2006-01-10|2007-07-21|Ind Tech Res Inst|Manufacturing method of charge store device| US8088248B2|2006-01-11|2012-01-03|Lam Research Corporation|Gas switching section including valves having different flow coefficients for gas distribution system| JP5324026B2|2006-01-18|2013-10-23|東京エレクトロン株式会社|プラズマ処理装置およびプラズマ処理装置の制御方法| JP5280861B2|2006-01-19|2013-09-04|エーエスエムアメリカインコーポレイテッド|高温aldインレットマニホールド| JP2007191792A|2006-01-19|2007-08-02|Atto Co Ltd|ガス分離型シャワーヘッド| US20080254220A1|2006-01-20|2008-10-16|Tokyo Electron Limited|Plasma processing apparatus| US20070173071A1|2006-01-20|2007-07-26|International Business Machines Corporation|SiCOH dielectric| US8673413B2|2006-01-27|2014-03-18|Tosoh Finechem Corporation|Method for packing solid organometallic compound and packed container| JP4854317B2|2006-01-31|2012-01-18|東京エレクトロン株式会社|基板処理方法| US7736437B2|2006-02-03|2010-06-15|Integrated Materials, Incorporated|Baffled liner cover| US20070184179A1|2006-02-09|2007-08-09|Akshay Waghray|Methods and apparatus to monitor a process of depositing a constituent of a multi-constituent gas during production of a composite brake disc| US20070187363A1|2006-02-13|2007-08-16|Tokyo Electron Limited|Substrate processing apparatus and substrate processing method| US8057603B2|2006-02-13|2011-11-15|Tokyo Electron Limited|Method of cleaning substrate processing chamber, storage medium, and substrate processing chamber| JP2007211326A|2006-02-13|2007-08-23|Nec Electronics Corp|成膜装置および成膜方法| KR101379015B1|2006-02-15|2014-03-28|한국에이에스엠지니텍 주식회사|플라즈마 원자층 증착법을 이용한 루테늄 막 증착 방법 및고밀도 루테늄 층| KR101186740B1|2006-02-17|2012-09-28|삼성전자주식회사|뱅크형성 방법 및 이에 의해 형성된 뱅크를 함유하는 유기박막 트랜지스터| KR20070084683A|2006-02-21|2007-08-27|국민대학교산학협력단|분자층 증착법| US20070207275A1|2006-02-21|2007-09-06|Applied Materials, Inc.|Enhancement of remote plasma source clean for dielectric films| US7354849B2|2006-02-28|2008-04-08|Intel Corporation|Catalytically enhanced atomic layer deposition process| CN101395453B|2006-03-07|2010-09-29|喜开理株式会社|气体流量检验单元| KR101003446B1|2006-03-07|2010-12-28|가부시키가이샤 히다치 고쿠사이 덴키|기판 처리 장치 및 기판 처리 방법| US7794546B2|2006-03-08|2010-09-14|Tokyo Electron Limited|Sealing device and method for a processing system| US7740705B2|2006-03-08|2010-06-22|Tokyo Electron Limited|Exhaust apparatus configured to reduce particle contamination in a deposition system| US7460003B2|2006-03-09|2008-12-02|International Business Machines Corporation|Electronic fuse with conformal fuse element formed over a freestanding dielectric spacer| US7494882B2|2006-03-10|2009-02-24|Texas Instruments Incorporated|Manufacturing a semiconductive device using a controlled atomic layer removal process| KR20070093493A|2006-03-14|2007-09-19|엘지이노텍 주식회사|서셉터 및 반도체 제조장치| US8268078B2|2006-03-16|2012-09-18|Tokyo Electron Limited|Method and apparatus for reducing particle contamination in a deposition system| US20070218200A1|2006-03-16|2007-09-20|Kenji Suzuki|Method and apparatus for reducing particle formation in a vapor distribution system| US7566891B2|2006-03-17|2009-07-28|Applied Materials, Inc.|Apparatus and method for treating a substrate with UV radiation using primary and secondary reflectors| US7692171B2|2006-03-17|2010-04-06|Andrzei Kaszuba|Apparatus and method for exposing a substrate to UV radiation using asymmetric reflectors| US7410915B2|2006-03-23|2008-08-12|Asm Japan K.K.|Method of forming carbon polymer film using plasma CVD| JP2007266464A|2006-03-29|2007-10-11|Hitachi Ltd|半導体集積回路装置の製造方法| US7456429B2|2006-03-29|2008-11-25|Eastman Kodak Company|Apparatus for atomic layer deposition| US20070234955A1|2006-03-29|2007-10-11|Tokyo Electron Limited|Method and apparatus for reducing carbon monoxide poisoning at the peripheral edge of a substrate in a thin film deposition system| US8951478B2|2006-03-30|2015-02-10|Applied Materials, Inc.|Ampoule with a thermally conductive coating| US20070237697A1|2006-03-31|2007-10-11|Tokyo Electron Limited|Method of forming mixed rare earth oxide and aluminate films by atomic layer deposition| US7753584B2|2006-03-31|2010-07-13|Mesoscribe Technologies, Inc.|Thermocouples| US7780865B2|2006-03-31|2010-08-24|Applied Materials, Inc.|Method to improve the step coverage and pattern loading for dielectric films| US7645484B2|2006-03-31|2010-01-12|Tokyo Electron Limited|Method of forming a metal carbide or metal carbonitride film having improved adhesion| US8012442B2|2006-03-31|2011-09-06|Tokyo Electron Limited|Method of forming mixed rare earth nitride and aluminum nitride films by atomic layer deposition| US20070287301A1|2006-03-31|2007-12-13|Huiwen Xu|Method to minimize wet etch undercuts and provide pore sealing of extreme low k dielectrics| US8097300B2|2006-03-31|2012-01-17|Tokyo Electron Limited|Method of forming mixed rare earth oxynitride and aluminum oxynitride films by atomic layer deposition| US7396491B2|2006-04-06|2008-07-08|Osram Sylvania Inc.|UV-emitting phosphor and lamp containing same| JP4943047B2|2006-04-07|2012-05-30|東京エレクトロン株式会社|処理装置及び処理方法| US7902074B2|2006-04-07|2011-03-08|Micron Technology, Inc.|Simplified pitch doubling process flow| US8399349B2|2006-04-18|2013-03-19|Air Products And Chemicals, Inc.|Materials and methods of forming controlled void| US20070248767A1|2006-04-19|2007-10-25|Asm Japan K.K.|Method of self-cleaning of carbon-based film| US7410852B2|2006-04-21|2008-08-12|International Business Machines Corporation|Opto-thermal annealing methods for forming metal gate and fully silicided gate field effect transistors| FR2900276B1|2006-04-25|2008-09-12|St Microelectronics Sa|Depot peald d'un materiau a base de silicium| US20070251456A1|2006-04-27|2007-11-01|Applied Materials, Inc., A Delaware Corporation|Composite heater and chill plate| US7537804B2|2006-04-28|2009-05-26|Micron Technology, Inc.|ALD methods in which two or more different precursors are utilized with one or more reactants to form materials over substrates| US8231799B2|2006-04-28|2012-07-31|Applied Materials, Inc.|Plasma reactor apparatus with multiple gas injection zones having time-changing separate configurable gas compositions for each zone| US20070252233A1|2006-04-28|2007-11-01|Semiconductor Energy Laboratory Co., Ltd.|Semiconductor device and method for manufacturing the semiconductor device| US7547633B2|2006-05-01|2009-06-16|Applied Materials, Inc.|UV assisted thermal processing| US7997795B2|2006-05-02|2011-08-16|Watlow Electric Manufacturing Company|Temperature sensors and methods of manufacture thereof| US7798096B2|2006-05-05|2010-09-21|Applied Materials, Inc.|Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool| US20070261868A1|2006-05-12|2007-11-15|Gross James R|Magnetic torque-limiting device and method| US20070266945A1|2006-05-16|2007-11-22|Asm Japan K.K.|Plasma cvd apparatus equipped with plasma blocking insulation plate| US7875312B2|2006-05-23|2011-01-25|Air Products And Chemicals, Inc.|Process for producing silicon oxide films for organoaminosilane precursors| EP2021124B1|2006-05-26|2020-10-07|INEOS Manufacturing Belgium NV|Loop type reactor for polymerization| US7790634B2|2006-05-30|2010-09-07|Applied Materials, Inc|Method for depositing and curing low-k films for gapfill and conformal film applications| US7825038B2|2006-05-30|2010-11-02|Applied Materials, Inc.|Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen| JP2007324350A|2006-05-31|2007-12-13|Tokyo Electron Ltd|熱処理方法および熱処理装置、ならびに基板処理装置| EP2029790A1|2006-06-02|2009-03-04|L'AIR LIQUIDE, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude|Method of forming high-k dielectric films based on novel titanium, zirconium, and hafnium precursors and their use for semiconductor manufacturing| US20070277735A1|2006-06-02|2007-12-06|Nima Mokhlesi|Systems for Atomic Layer Deposition of Oxides Using Krypton as an Ion Generating Feeding Gas| US20070281105A1|2006-06-02|2007-12-06|Nima Mokhlesi|Atomic Layer Deposition of Oxides Using Krypton as an Ion Generating Feeding Gas| US7623940B2|2006-06-02|2009-11-24|The Boeing Company|Direct-manufactured duct interconnects| US20070281082A1|2006-06-02|2007-12-06|Nima Mokhlesi|Flash Heating in Atomic Layer Deposition| US8278176B2|2006-06-07|2012-10-02|Asm America, Inc.|Selective epitaxial formation of semiconductor films| US20080018004A1|2006-06-09|2008-01-24|Air Products And Chemicals, Inc.|High Flow GaCl3 Delivery| JP5543203B2|2006-06-16|2014-07-09|フジフィルムマニュファクチャリングユーロプビー.ブイ.|大気圧グロー放電プラズマを使用した原子層堆積の方法及び装置| JP5045000B2|2006-06-20|2012-10-10|東京エレクトロン株式会社|成膜装置、ガス供給装置、成膜方法及び記憶媒体| US7625820B1|2006-06-21|2009-12-01|Novellus Systems, Inc.|Method of selective coverage of high aspect ratio structures with a conformal film| US7691757B2|2006-06-22|2010-04-06|Asm International N.V.|Deposition of complex nitride films| US7554103B2|2006-06-26|2009-06-30|Applied Materials, Inc.|Increased tool utilization/reduction in MWBC for UV curing chamber| US7494272B2|2006-06-27|2009-02-24|Applied Materials, Inc.|Dynamic surface annealing using addressable laser array with pyrometry feedback| US7718045B2|2006-06-27|2010-05-18|Applied Materials, Inc.|Ground shield with reentrant feature| US7867578B2|2006-06-28|2011-01-11|Applied Materials, Inc.|Method for depositing an amorphous carbon film with improved density and step coverage| US20080153311A1|2006-06-28|2008-06-26|Deenesh Padhi|Method for depositing an amorphous carbon film with improved density and step coverage| US7501355B2|2006-06-29|2009-03-10|Applied Materials, Inc.|Decreasing the etch rate of silicon nitride by carbon addition| US7416989B1|2006-06-30|2008-08-26|Novellus Systems, Inc.|Adsorption based material removal process| WO2008004278A1|2006-07-04|2008-01-10|Toshiba Mitsubishi-Electric Industrial Systems Corporation|Procédé et dispositif de concentration / dilution de gaz spécifique| JP4193883B2|2006-07-05|2008-12-10|住友電気工業株式会社|有機金属気相成長装置| JP5027573B2|2006-07-06|2012-09-19|株式会社小松製作所|温度センサおよび温調装置| KR100799735B1|2006-07-10|2008-02-01|삼성전자주식회사|금속 산화물 형성 방법 및 이를 수행하기 위한 장치| WO2008008737A2|2006-07-10|2008-01-17|Asyst Technologies, Inc.|Variable lot size load port| KR100782484B1|2006-07-13|2007-12-05|삼성전자주식회사|열처리 설비| US7981815B2|2006-07-20|2011-07-19|Hitachi Kokusai Electric Inc.|Semiconductor device producing method and substrate processing apparatus| US7795160B2|2006-07-21|2010-09-14|Asm America Inc.|ALD of metal silicate films| KR100791334B1|2006-07-26|2008-01-07|삼성전자주식회사|원자층 증착법을 이용한 금속 산화막 형성 방법| FR2904328B1|2006-07-27|2008-10-24|St Microelectronics Sa|Depot par adsorption sous un champ electrique| WO2008016836A2|2006-07-29|2008-02-07|Lotus Applied Technology, Llc|Radical-enhanced atomic layer deposition system and method| JP2008041734A|2006-08-02|2008-02-21|Sony Corp|半導体装置および半導体装置の製造方法| US7749879B2|2006-08-03|2010-07-06|Micron Technology, Inc.|ALD of silicon films on germanium| GB0615722D0|2006-08-08|2006-09-20|Boc Group Plc|Apparatus for conveying a waste stream| US8080282B2|2006-08-08|2011-12-20|Asm Japan K.K.|Method for forming silicon carbide film containing oxygen| US7514375B1|2006-08-08|2009-04-07|Novellus Systems, Inc.|Pulsed bias having high pulse frequency for filling gaps with dielectric material| TW200814131A|2006-08-11|2008-03-16|Schott Ag|External electrode fluorescent lamp with optimized operating efficiency| US7935942B2|2006-08-15|2011-05-03|Varian Semiconductor Equipment Associates, Inc.|Technique for low-temperature ion implantation| US20080045030A1|2006-08-15|2008-02-21|Shigeru Tahara|Substrate processing method, substrate processing system and storage medium| WO2008020267A2|2006-08-16|2008-02-21|Freescale Semiconductor, Inc.|Etch method in the manufacture of an integrated circuit| CN101506561B|2006-08-23|2012-04-18|株式会社堀场Stec|组合式气体分配盘装置| JP4961895B2|2006-08-25|2012-06-27|東京エレクトロン株式会社|ウェハ搬送装置、ウェハ搬送方法及び記憶媒体| JP4904995B2|2006-08-28|2012-03-28|シンフォニアテクノロジー株式会社|ロードポート装置| KR100753020B1|2006-08-30|2007-08-30|한국화학연구원|원자층 증착법을 이용한 비휘발성 부유 게이트 메모리소자를 위한나노적층체의 제조방법| US20080063798A1|2006-08-30|2008-03-13|Kher Shreyas S|Precursors and hardware for cvd and ald| US7611980B2|2006-08-30|2009-11-03|Micron Technology, Inc.|Single spacer process for multiplying pitch by a factor greater than two and related intermediate IC structures| US7690881B2|2006-08-30|2010-04-06|Asm Japan K.K.|Substrate-processing apparatus with buffer mechanism and substrate-transferring apparatus| US7544604B2|2006-08-31|2009-06-09|Micron Technology, Inc.|Tantalum lanthanide oxynitride films| JP4943780B2|2006-08-31|2012-05-30|株式会社日立ハイテクノロジーズ|プラズマ処理装置およびプラズマ処理方法| US20080241805A1|2006-08-31|2008-10-02|Q-Track Corporation|System and method for simulated dosimetry using a real time locating system| US7605030B2|2006-08-31|2009-10-20|Micron Technology, Inc.|Hafnium tantalum oxynitride high-k dielectric and metal gates| US20080057659A1|2006-08-31|2008-03-06|Micron Technology, Inc.|Hafnium aluminium oxynitride high-K dielectric and metal gates| JP5138253B2|2006-09-05|2013-02-06|東京エレクトロン株式会社|アニール装置| EP1898195B1|2006-09-06|2010-07-14|Kistler Holding AG|Temperatursensor mit bearbeitbarer Front| JP4762835B2|2006-09-07|2011-08-31|東京エレクトロン株式会社|基板処理方法、基板処理装置、プログラムおよびプログラム記録媒体| KR100761857B1|2006-09-08|2007-09-28|삼성전자주식회사|반도체 소자의 미세패턴 형성방법 및 이를 이용한 반도체소자의 제조방법| JP2008066159A|2006-09-08|2008-03-21|Noritsu Koki Co Ltd|プラズマ発生装置およびそれを用いるワーク処理装置| JP2008072030A|2006-09-15|2008-03-27|Matsushita Electric Ind Co Ltd|プラズマ処理装置、プラズマ処理装置の異常検出方法、及びプラズマ処理方法| US7789965B2|2006-09-19|2010-09-07|Asm Japan K.K.|Method of cleaning UV irradiation chamber| US7976898B2|2006-09-20|2011-07-12|Asm Genitech Korea Ltd.|Atomic layer deposition apparatus| US7718553B2|2006-09-21|2010-05-18|Asm Japan K.K.|Method for forming insulation film having high density| JP2008074963A|2006-09-21|2008-04-03|Fujifilm Corp|組成物、膜、およびその製造方法| US7740437B2|2006-09-22|2010-06-22|Asm International N.V.|Processing system with increased cassette storage capacity| JP4814038B2|2006-09-25|2011-11-09|株式会社日立国際電気|基板処理装置および反応容器の着脱方法| US7723648B2|2006-09-25|2010-05-25|Tokyo Electron Limited|Temperature controlled substrate holder with non-uniform insulation layer for a substrate processing system| USD634329S1|2006-09-26|2011-03-15|Margareta Wastrom|Computer platform with forearm support| US8137048B2|2006-09-27|2012-03-20|Vserv Technologies|Wafer processing system with dual wafer robots capable of asynchronous motion| TWI462179B|2006-09-28|2014-11-21|Tokyo Electron Ltd|用以形成氧化矽膜之成膜方法與裝置| US7476291B2|2006-09-28|2009-01-13|Lam Research Corporation|High chamber temperature process and chamber design for photo-resist stripping and post-metal etch passivation| JP2008085129A|2006-09-28|2008-04-10|Taiheiyo Cement Corp|基板載置装置| US7767262B2|2006-09-29|2010-08-03|Tokyo Electron Limited|Nitrogen profile engineering in nitrided high dielectric constant films| JP2008089320A|2006-09-29|2008-04-17|Nicom Co Ltd|流量計測装置| DE102006046374B4|2006-09-29|2010-11-11|Advanced Micro Devices, Inc., Sunnyvale|Verfahren zum Reduzieren der Lackvergiftung während des Strukturierens von Siliziumnitridschichten in einem Halbleiterbauelement| TW200822253A|2006-10-02|2008-05-16|Matsushita Electric Ind Co Ltd|Component crimping apparatus control method, component crimping apparatus, and measuring tool| USD593969S1|2006-10-10|2009-06-09|Tokyo Electron Limited|Processing chamber for manufacturing semiconductors| US8986456B2|2006-10-10|2015-03-24|Asm America, Inc.|Precursor delivery system| US8137462B2|2006-10-10|2012-03-20|Asm America, Inc.|Precursor delivery system| CN100451163C|2006-10-18|2009-01-14|中微半导体设备有限公司|用于半导体工艺件处理反应器的气体分布装置及其反应器| US8795771B2|2006-10-27|2014-08-05|Sean T. Barry|ALD of metal-containing films using cyclopentadienyl compounds| JP2008108991A|2006-10-27|2008-05-08|Daihen Corp|ワーク保持機構| US7851232B2|2006-10-30|2010-12-14|Novellus Systems, Inc.|UV treatment for carbon-containing low-k dielectric repair in semiconductor processing| US7727864B2|2006-11-01|2010-06-01|Asm America, Inc.|Controlled composition using plasma-enhanced atomic layer deposition| US7888273B1|2006-11-01|2011-02-15|Novellus Systems, Inc.|Density gradient-free gap fill| US7611751B2|2006-11-01|2009-11-03|Asm America, Inc.|Vapor deposition of metal carbide films| US7955516B2|2006-11-02|2011-06-07|Applied Materials, Inc.|Etching of nano-imprint templates using an etch reactor| JP2008117903A|2006-11-02|2008-05-22|Toshiba Corp|半導体装置の製造方法| CN101536154B|2006-11-09|2010-08-11|株式会社爱发科|遮蔽膜的形成方法| US20100001409A1|2006-11-09|2010-01-07|Nxp, B.V.|Semiconductor device and method of manufacturing thereof| US20080179104A1|2006-11-14|2008-07-31|Smith International, Inc.|Nano-reinforced wc-co for improved properties| US7776395B2|2006-11-14|2010-08-17|Applied Materials, Inc.|Method of depositing catalyst assisted silicates of high-k materials| US7749574B2|2006-11-14|2010-07-06|Applied Materials, Inc.|Low temperature ALD SiO2| US7671134B2|2006-11-15|2010-03-02|Brady Worldwide, Inc.|Compositions with improved adhesion to low surface energy substrates| US7976634B2|2006-11-21|2011-07-12|Applied Materials, Inc.|Independent radiant gas preheating for precursor disassociation control and gas reaction kinetics in low temperature CVD systems| US20080118334A1|2006-11-22|2008-05-22|Bonora Anthony C|Variable pitch storage shelves| US20090223441A1|2006-11-22|2009-09-10|Chantal Arena|High volume delivery system for gallium trichloride| US7758698B2|2006-11-28|2010-07-20|Applied Materials, Inc.|Dual top gas feed through distributor for high density plasma chamber| US20080121177A1|2006-11-28|2008-05-29|Applied Materials, Inc.|Dual top gas feed through distributor for high density plasma chamber| US20080124946A1|2006-11-28|2008-05-29|Air Products And Chemicals, Inc.|Organosilane compounds for modifying dielectrical properties of silicon oxide and silicon nitride films| US7807575B2|2006-11-29|2010-10-05|Micron Technology, Inc.|Methods to reduce the critical dimension of semiconductor devices| US20080132046A1|2006-12-04|2008-06-05|Varian Semiconductor Equipment Associates, Inc.|Plasma Doping With Electronically Controllable Implant Angle| US20080178805A1|2006-12-05|2008-07-31|Applied Materials, Inc.|Mid-chamber gas distribution plate, tuned plasma flow control grid and electrode| EP2089897A2|2006-12-07|2009-08-19|Innovalight, Inc.|Methods for creating a densified group iv semiconductor nanoparticle thin film| US20080142483A1|2006-12-07|2008-06-19|Applied Materials, Inc.|Multi-step dep-etch-dep high density plasma chemical vapor deposition processes for dielectric gapfills| US7906174B1|2006-12-07|2011-03-15|Novellus Systems, Inc.|PECVD methods for producing ultra low-k dielectric films using UV treatment| US20080202689A1|2006-12-08|2008-08-28|Tes Co., Ltd.|Plasma processing apparatus| US20080173238A1|2006-12-12|2008-07-24|Hitachi Kokusai Electric Inc.|Substrate processing apparatus, method of manufacturing semiconductor device, and reaction vessel| US7960236B2|2006-12-12|2011-06-14|Applied Materials, Inc.|Phosphorus containing Si epitaxial layers in N-type source/drain junctions| USD583395S1|2006-12-15|2008-12-23|Tokyo Electron Limited|Cover for a heater stage of a plasma processing apparatus| US8362561B2|2006-12-15|2013-01-29|Nxp B.V.|Transistor device and method of manufacturing such a transistor device| US9789631B2|2006-12-19|2017-10-17|Koninklijke Philips N.V.|System for and method of heating objects in a production line| US8178436B2|2006-12-21|2012-05-15|Intel Corporation|Adhesion and electromigration performance at an interface between a dielectric and metal| US8120114B2|2006-12-27|2012-02-21|Intel Corporation|Transistor having an etch stop layer including a metal compound that is selectively formed over a metal gate| JP4553891B2|2006-12-27|2010-09-29|シャープ株式会社|半導体層製造方法| JP2008166360A|2006-12-27|2008-07-17|Hitachi Ltd|半導体集積回路装置| GB2445188B|2006-12-29|2009-07-01|Thermo Fisher Scientific Inc|Apparatus and method for generating nitrogen oxides| JP2008172083A|2007-01-12|2008-07-24|Sharp Corp|気相成長装置および気相成長方法| US7860379B2|2007-01-15|2010-12-28|Applied Materials, Inc.|Temperature measurement and control of wafer support in thermal processing chamber| DE102007003416A1|2007-01-16|2008-07-17|Hansgrohe Ag|Duschvorrichtung| JP5108489B2|2007-01-16|2012-12-26|株式会社日立ハイテクノロジーズ|プラズマ処理方法| DE102007002962B3|2007-01-19|2008-07-31|Qimonda Ag|Verfahren zum Herstellen einer dielektrischen Schicht und zum Herstellen eines Kondensators| WO2008089168A2|2007-01-19|2008-07-24|Applied Materials, Inc.|Plasma immersion chamber| US20080191193A1|2007-01-22|2008-08-14|Xuegeng Li|In situ modification of group iv nanoparticles using gas phase nanoparticle reactors| JP4299863B2|2007-01-22|2009-07-22|エルピーダメモリ株式会社|半導体装置の製造方法| JP5109376B2|2007-01-22|2012-12-26|東京エレクトロン株式会社|加熱装置、加熱方法及び記憶媒体| US7550090B2|2007-01-23|2009-06-23|Applied Materials, Inc.|Oxygen plasma clean to remove carbon species deposited on a glass dome surface| US7833353B2|2007-01-24|2010-11-16|Asm Japan K.K.|Liquid material vaporization apparatus for semiconductor processing apparatus| US20080173239A1|2007-01-24|2008-07-24|Yuri Makarov|Method, system, and apparatus for the growth of SiC and related or similar material, by chemical vapor deposition, using precursors in modified cold-wall reactor| US7598170B2|2007-01-26|2009-10-06|Asm America, Inc.|Plasma-enhanced ALD of tantalum nitride films| US20080182403A1|2007-01-26|2008-07-31|Atif Noori|Uv curing of pecvd-deposited sacrificial polymer films for air-gap ild| US20080179715A1|2007-01-30|2008-07-31|Micron Technology, Inc.|Shallow trench isolation using atomic layer deposition during fabrication of a semiconductor device| JP4270284B2|2007-01-30|2009-05-27|トヨタ自動車株式会社|車輪状態監視システムおよび車輪状態検出装置| JP4896899B2|2007-01-31|2012-03-14|東京エレクトロン株式会社|基板処理装置およびパーティクル付着防止方法| DE102007004867B4|2007-01-31|2009-07-30|Advanced Micro Devices, Inc., Sunnyvale|Verfahren zum Erhöhen der Zuverlässigkeit von kupferbasierten Metallisierungsstrukturen in einem Mikrostrukturbauelement durch Anwenden von Aluminiumnitrid| JP2008192643A|2007-01-31|2008-08-21|Tokyo Electron Ltd|基板処理装置| JP2008198629A|2007-02-08|2008-08-28|Mitsubishi Electric Corp|表面処理方法および太陽電池セル| US8043432B2|2007-02-12|2011-10-25|Tokyo Electron Limited|Atomic layer deposition systems and methods| US7851360B2|2007-02-14|2010-12-14|Intel Corporation|Organometallic precursors for seed/barrier processes and methods thereof| US7500397B2|2007-02-15|2009-03-10|Air Products And Chemicals, Inc.|Activated chemical process for enhancing material properties of dielectric films| USD576001S1|2007-02-16|2008-09-02|Brenda Brunderman|Faux brick tool| JP4805862B2|2007-02-21|2011-11-02|富士通セミコンダクター株式会社|基板処理装置、基板処理方法、及び半導体装置の製造方法| JP2008202107A|2007-02-21|2008-09-04|Hitachi Kokusai Electric Inc|基板処理装置| US7871198B2|2007-02-26|2011-01-18|Battelle Energy Alliance, Llc|High-temperature thermocouples and related methods| US20080207007A1|2007-02-27|2008-08-28|Air Products And Chemicals, Inc.|Plasma Enhanced Cyclic Chemical Vapor Deposition of Silicon-Containing Films| DE102007009914B4|2007-02-28|2010-04-22|Advanced Micro Devices, Inc., Sunnyvale|Halbleiterbauelement in Form eines Feldeffekttransistors mit einem Zwischenschichtdielektrikumsmaterial mit erhöhter innerer Verspannung und Verfahren zur Herstellung desselben| US20080216077A1|2007-03-02|2008-09-04|Applied Materials, Inc.|Software sequencer for integrated substrate processing system| US20080220619A1|2007-03-09|2008-09-11|Asm Japan K.K.|Method for increasing mechanical strength of dielectric film by using sequential combination of two types of uv irradiation| US8012259B2|2007-03-09|2011-09-06|Hitachi Kokusai Electric, Inc.|Substrate processing apparatus| US20080223130A1|2007-03-13|2008-09-18|Provina Incorporated|Method and device for measuring density of a liquid| US7621672B2|2007-03-19|2009-11-24|Babcock & Wilcox Technical Services Y-12, Llc|Thermocouple shield| US7833913B2|2007-03-20|2010-11-16|Tokyo Electron Limited|Method of forming crystallographically stabilized doped hafnium zirconium based films| JP5188496B2|2007-03-22|2013-04-24|パナソニック株式会社|プラズマ処理装置及びプラズマ処理方法| US7763869B2|2007-03-23|2010-07-27|Asm Japan K.K.|UV light irradiating apparatus with liquid filter| US7435987B1|2007-03-27|2008-10-14|Intel Corporation|Forming a type I heterostructure in a group IV semiconductor| US20080241387A1|2007-03-29|2008-10-02|Asm International N.V.|Atomic layer deposition reactor| US7588749B2|2007-03-29|2009-09-15|Minimus Spine, Inc.|Apparatus, method and system for delivering oxygen-ozone| US7651961B2|2007-03-30|2010-01-26|Tokyo Electron Limited|Method for forming strained silicon nitride films and a device containing such films| US20080241384A1|2007-04-02|2008-10-02|Asm Genitech Korea Ltd.|Lateral flow deposition apparatus and method of depositing film by using the apparatus| US8235001B2|2007-04-02|2012-08-07|Hitachi Kokusai Electric Inc.|Substrate processing apparatus and method for manufacturing semiconductor device| ITMI20070671A1|2007-04-02|2008-10-03|St Microelectronics Srl|Architettura circuitale su base organica e relativo metodo fi realizzazione| US8242028B1|2007-04-03|2012-08-14|Novellus Systems, Inc.|UV treatment of etch stop and hard mask films for selectivity and hermeticity enhancement| KR100829759B1|2007-04-04|2008-05-15|삼성에스디아이 주식회사|카바이드 유도 탄소를 이용한 카본나노튜브 혼성체, 이를포함하는 전자 방출원 및 상기 전자 방출원을 구비한 전자방출 소자| WO2008127935A1|2007-04-13|2008-10-23|The Board Of Trustees Of The University Of Illinois|Metal complex compositions and methods for making metal-containing films| KR101181503B1|2007-04-16|2012-09-10|가부시키가이샤 아루박|콘베이어 및 성막 장치와 그 보수관리 방법| CN101657565A|2007-04-17|2010-02-24|株式会社爱发科|成膜装置| US20080260963A1|2007-04-17|2008-10-23|Hyungsuk Alexander Yoon|Apparatus and method for pre and post treatment of atomic layer deposition| US20080257102A1|2007-04-20|2008-10-23|William Packer|Mechanically retained motorcycle handlebar grips| JP4853374B2|2007-04-27|2012-01-11|東京エレクトロン株式会社|塗布、現像装置及びその方法並びに記憶媒体| US7575968B2|2007-04-30|2009-08-18|Freescale Semiconductor, Inc.|Inverse slope isolation and dual surface orientation integration| US7713874B2|2007-05-02|2010-05-11|Asm America, Inc.|Periodic plasma annealing in an ALD-type process| KR100894098B1|2007-05-03|2009-04-20|주식회사 하이닉스반도체|빠른 소거속도 및 향상된 리텐션 특성을 갖는 불휘발성메모리소자 및 그 제조방법| US20110067522A1|2007-05-08|2011-03-24|Lai Ching-Chuan|Bicycle handlebar grip| US8110099B2|2007-05-09|2012-02-07|Contech Stormwater Solutions Inc.|Stormwater filter assembly| JP5103056B2|2007-05-15|2012-12-19|ルネサスエレクトロニクス株式会社|半導体装置の製造方法| US7750429B2|2007-05-15|2010-07-06|International Business Machines Corporation|Self-aligned and extended inter-well isolation structure| GB0709723D0|2007-05-22|2007-06-27|Goodrich Control Sys Ltd|Temperature sensing| US7874726B2|2007-05-24|2011-01-25|Asm America, Inc.|Thermocouple| US7942969B2|2007-05-30|2011-05-17|Applied Materials, Inc.|Substrate cleaning chamber and components| US20080299326A1|2007-05-30|2008-12-04|Asm Japan K.K.|Plasma cvd apparatus having non-metal susceptor| CN101678974A|2007-05-31|2010-03-24|应用材料股份有限公司|延伸scara机械手臂连接的方法及设备| US7807578B2|2007-06-01|2010-10-05|Applied Materials, Inc.|Frequency doubling using spacer mask| US20090017631A1|2007-06-01|2009-01-15|Bencher Christopher D|Self-aligned pillar patterning using multiple spacer masks| US8084352B2|2007-06-04|2011-12-27|Panasonic Corporation|Method of manufacturing semiconductor device| US7781352B2|2007-06-06|2010-08-24|Asm Japan K.K.|Method for forming inorganic silazane-based dielectric film| US8142606B2|2007-06-07|2012-03-27|Applied Materials, Inc.|Apparatus for depositing a uniform silicon film and methods for manufacturing the same| US20080302303A1|2007-06-07|2008-12-11|Applied Materials, Inc.|Methods and apparatus for depositing a uniform silicon film with flow gradient designs| US20080305014A1|2007-06-07|2008-12-11|Hitachi Kokusai Electric Inc.|Substrate processing apparatus| US7955650B2|2007-06-07|2011-06-07|Asm Japan K.K.|Method for forming dielectric film using porogen gas| KR101217778B1|2007-06-08|2013-01-02|도쿄엘렉트론가부시키가이샤|패터닝 방법| WO2008149989A1|2007-06-08|2008-12-11|Tokyo Electron Limited|パターニング方法| JP4427562B2|2007-06-11|2010-03-10|株式会社東芝|パターン形成方法| US8329541B2|2007-06-15|2012-12-11|Taiwan Semiconductor Manufacturing Company, Ltd.|InP-based transistor fabrication| USD575713S1|2007-06-21|2008-08-26|Ratcliffe Peter W|Vehicle accessory| US8017182B2|2007-06-21|2011-09-13|Asm International N.V.|Method for depositing thin films by mixed pulsed CVD and ALD| CN100590804C|2007-06-22|2010-02-17|中芯国际集成电路制造有限公司|原子层沉积方法以及形成的半导体器件| US20090004875A1|2007-06-27|2009-01-01|Meihua Shen|Methods of trimming amorphous carbon film for forming ultra thin structures on a substrate| US8905124B2|2007-06-27|2014-12-09|Taiwan Semiconductor Manufacturing Company, Ltd.|Temperature controlled loadlock chamber| US9337054B2|2007-06-28|2016-05-10|Entegris, Inc.|Precursors for silicon dioxide gap fill| US20090000550A1|2007-06-29|2009-01-01|Applied Materials, Inc.|Manifold assembly| US20090033907A1|2007-07-05|2009-02-05|Nikon Corporation|Devices and methods for decreasing residual chucking forces| JP2009016672A|2007-07-06|2009-01-22|Tokyo Electron Ltd|半導体装置の製造方法、半導体装置、半導体製造装置及び記憶媒体。| US8021514B2|2007-07-11|2011-09-20|Applied Materials, Inc.|Remote plasma source for pre-treatment of substrates prior to deposition| US7651269B2|2007-07-19|2010-01-26|Lam Research Corporation|Temperature probes having a thermally isolated tip| US7501292B2|2007-07-19|2009-03-10|Asm Japan K.K.|Method for managing UV irradiation for curing semiconductor substrate| JP4900110B2|2007-07-20|2012-03-21|東京エレクトロン株式会社|薬液気化タンク及び薬液処理システム| US7720560B2|2007-07-26|2010-05-18|International Business Machines Corporation|Semiconductor manufacturing process monitoring| US8008166B2|2007-07-26|2011-08-30|Applied Materials, Inc.|Method and apparatus for cleaning a substrate surface| US8004045B2|2007-07-27|2011-08-23|Panasonic Corporation|Semiconductor device and method for producing the same| JP5058084B2|2007-07-27|2012-10-24|株式会社半導体エネルギー研究所|光電変換装置の作製方法及びマイクロ波プラズマcvd装置| US7910497B2|2007-07-30|2011-03-22|Applied Materials, Inc.|Method of forming dielectric layers on a substrate and apparatus therefor| JP5024382B2|2007-08-03|2012-09-12|信越半導体株式会社|サセプタ及びシリコンエピタキシャルウェーハの製造方法| US20090041984A1|2007-08-10|2009-02-12|Nano Terra Inc.|Structured Smudge-Resistant Coatings and Methods of Making and Using the Same| US20090041952A1|2007-08-10|2009-02-12|Asm Genitech Korea Ltd.|Method of depositing silicon oxide films| JP2009044023A|2007-08-10|2009-02-26|Hitachi Kokusai Electric Inc|半導体装置の製造方法および基板処理装置| TWI405295B|2007-08-13|2013-08-11|Advanced Display Proc Eng Co|基板處理裝置及方法| US8084372B2|2007-08-24|2011-12-27|Tokyo Electron Limited|Substrate processing method and computer storage medium| US20090052498A1|2007-08-24|2009-02-26|Asm America, Inc.|Thermocouple| US7745352B2|2007-08-27|2010-06-29|Applied Materials, Inc.|Curing methods for silicon dioxide thin films deposited from alkoxysilane precursor with harp II process| JP2009076881A|2007-08-30|2009-04-09|Tokyo Electron Ltd|処理ガス供給システム及び処理装置| WO2009028619A1|2007-08-30|2009-03-05|Tokyo Electron Limited|処理ガス供給システム及び処理装置| US8962101B2|2007-08-31|2015-02-24|Novellus Systems, Inc.|Methods and apparatus for plasma-based deposition| JP2009060035A|2007-09-03|2009-03-19|Shinko Electric Ind Co Ltd|静電チャック部材、その製造方法及び静電チャック装置| US7879250B2|2007-09-05|2011-02-01|Applied Materials, Inc.|Method of processing a workpiece in a plasma reactor with independent wafer edge process gas injection| US7832354B2|2007-09-05|2010-11-16|Applied Materials, Inc.|Cathode liner with wafer edge gas injection in a plasma reactor chamber| US8440259B2|2007-09-05|2013-05-14|Intermolecular, Inc.|Vapor based combinatorial processing| US20100255625A1|2007-09-07|2010-10-07|Fujifilm Manufacturing Europe B.V.|Method and apparatus for atomic layer deposition using an atmospheric pressure glow discharge plasma| JP5347294B2|2007-09-12|2013-11-20|東京エレクトロン株式会社|成膜装置、成膜方法及び記憶媒体| US20090075491A1|2007-09-13|2009-03-19|Tokyo Electron Limited|Method for curing a dielectric film| EP2193541A1|2007-09-18|2010-06-09|L'AIR LIQUIDE, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude|Method of forming silicon-containing films| JP4986784B2|2007-09-18|2012-07-25|東京エレクトロン株式会社|処理システムの制御装置、処理システムの制御方法および制御プログラムを記憶した記憶媒体| JP5236983B2|2007-09-28|2013-07-17|東京エレクトロン株式会社|半導体装置の製造方法、半導体装置の製造装置、制御プログラム及びプログラム記憶媒体| US20090085156A1|2007-09-28|2009-04-02|Gilbert Dewey|Metal surface treatments for uniformly growing dielectric layers| US20090084317A1|2007-09-28|2009-04-02|Applied Materials, Inc.|Atomic layer deposition chamber and components| US7824743B2|2007-09-28|2010-11-02|Applied Materials, Inc.|Deposition processes for titanium nitride barrier and aluminum| JP2009088421A|2007-10-03|2009-04-23|Renesas Technology Corp|半導体装置の製造方法| US8041450B2|2007-10-04|2011-10-18|Asm Japan K.K.|Position sensor system for substrate transfer robot| US7776698B2|2007-10-05|2010-08-17|Applied Materials, Inc.|Selective formation of silicon carbon epitaxial layer| US20090090382A1|2007-10-05|2009-04-09|Asm Japan K.K.|Method of self-cleaning of carbon-based film| CA122619S|2007-10-09|2010-01-27|Silvano Breda|Shower strainer| US20090095221A1|2007-10-16|2009-04-16|Alexander Tam|Multi-gas concentric injection showerhead| US8070880B2|2007-10-22|2011-12-06|Hitachi Kokusai Electric, Inc.|Substrate processing apparatus| US7867923B2|2007-10-22|2011-01-11|Applied Materials, Inc.|High quality silicon oxide films by remote plasma CVD from disilane precursors| US7803722B2|2007-10-22|2010-09-28|Applied Materials, Inc|Methods for forming a dielectric layer within trenches| US7541297B2|2007-10-22|2009-06-02|Applied Materials, Inc.|Method and system for improving dielectric film quality for void free gap fill| US7939447B2|2007-10-26|2011-05-10|Asm America, Inc.|Inhibitors for selective deposition of silicon containing films| US7615831B2|2007-10-26|2009-11-10|International Business Machines Corporation|Structure and method for fabricating self-aligned metal contacts| JP4730369B2|2007-10-30|2011-07-20|株式会社デンソー|ナビゲーションシステム| KR101369907B1|2007-10-31|2014-03-04|주성엔지니어링|트랜지스터 및 그 제조 방법| WO2009067858A1|2007-10-31|2009-06-04|China Petroleum & Chemical Corporation|A predeactivation method and a deactivation method during initial reaction for a continuous reforming apparatus| US7737039B2|2007-11-01|2010-06-15|Micron Technology, Inc.|Spacer process for on pitch contacts and related structures| US7772097B2|2007-11-05|2010-08-10|Asm America, Inc.|Methods of selectively depositing silicon-containing films| US20090124131A1|2007-11-09|2009-05-14|Electronic Controls Design|Thermocouple adapter| US20090122458A1|2007-11-14|2009-05-14|Varian Semiconductor Epuipment Associated, Inc.|Embossed electrostatic chuck| CA123272S|2007-11-19|2010-01-27|Silvano Breda|Shower strainer| US8272516B2|2007-11-19|2012-09-25|Caterpillar Inc.|Fluid filter system| CA123273S|2007-11-19|2010-01-27|Silvano Breda|Shower strainer| KR101412144B1|2007-11-26|2014-06-26|삼성전자 주식회사|금속 배선의 제조 방법 및 이를 이용한 이미지 센서의 제조방법| US8021723B2|2007-11-27|2011-09-20|Asm Japan K.K.|Method of plasma treatment using amplitude-modulated RF power| EP2065927B1|2007-11-27|2013-10-02|Imec|Integration and manufacturing method of Cu germanide and Cu silicide as Cu capping layer| US8106588B2|2007-11-28|2012-01-31|Koninklijke Philips Electronics N.V.|Dielectric barrier discharge lamp| KR20090055443A|2007-11-28|2009-06-02|주식회사 케이씨텍|원자층 증착 장치| KR20090055444A|2007-11-28|2009-06-02|곽성진|벨이 장착된 버스 손잡이 구조| US8060252B2|2007-11-30|2011-11-15|Novellus Systems, Inc.|High throughput method of in transit wafer position correction in system using multiple robots| US7651959B2|2007-12-03|2010-01-26|Asm Japan K.K.|Method for forming silazane-based dielectric film| JP5464843B2|2007-12-03|2014-04-09|株式会社半導体エネルギー研究所|Soi基板の作製方法| US20090139657A1|2007-12-04|2009-06-04|Applied Materials, Inc.|Etch system| WO2009072252A1|2007-12-06|2009-06-11|Shin-Etsu Handotai Co., Ltd.|気相成長用サセプタおよび気相成長装置| US7807566B2|2007-12-07|2010-10-05|Asm Japan K.K.|Method for forming dielectric SiOCH film having chemical stability| US8440569B2|2007-12-07|2013-05-14|Cadence Design Systems, Inc.|Method of eliminating a lithography operation| US8628616B2|2007-12-11|2014-01-14|Sumitomo Electric Industries, Ltd.|Vapor-phase process apparatus, vapor-phase process method, and substrate| US8003174B2|2007-12-13|2011-08-23|Asm Japan K.K.|Method for forming dielectric film using siloxane-silazane mixture| KR100956247B1|2007-12-13|2010-05-06|삼성엘이디 주식회사|금속유기 화학기상 증착장치| JP5307029B2|2007-12-17|2013-10-02|株式会社オーク製作所|放電ランプ| US8092606B2|2007-12-18|2012-01-10|Asm Genitech Korea Ltd.|Deposition apparatus| US20090155488A1|2007-12-18|2009-06-18|Asm Japan K.K.|Shower plate electrode for plasma cvd reactor| US8137463B2|2007-12-19|2012-03-20|Applied Materials, Inc.|Dual zone gas injection nozzle| US20090159002A1|2007-12-19|2009-06-25|Kallol Bera|Gas distribution plate with annular plenum having a sloped ceiling for uniform distribution| US7998875B2|2007-12-19|2011-08-16|Lam Research Corporation|Vapor phase repair and pore sealing of low-K dielectric materials| US7993057B2|2007-12-20|2011-08-09|Asm America, Inc.|Redundant temperature sensor for semiconductor processing chambers| JP3140111U|2007-12-21|2008-03-13|日本エー・エス・エム株式会社|半導体製造装置用ガス供給装置| KR101573954B1|2007-12-21|2015-12-02|램 리써치 코포레이션|포토레지스트 더블 패터닝| US7989329B2|2007-12-21|2011-08-02|Applied Materials, Inc.|Removal of surface dopants from a substrate| KR20090068179A|2007-12-21|2009-06-25|에이에스엠 인터내셔널 엔.브이.|실리콘 이산화물을 포함하는 박막의 제조 방법| US7678715B2|2007-12-21|2010-03-16|Applied Materials, Inc.|Low wet etch rate silicon nitride film| US20090197015A1|2007-12-25|2009-08-06|Applied Materials, Inc.|Method and apparatus for controlling plasma uniformity| KR101444873B1|2007-12-26|2014-09-26|주성엔지니어링|기판처리장치| JP5291928B2|2007-12-26|2013-09-18|株式会社日立製作所|酸化物半導体装置およびその製造方法| SG195592A1|2007-12-27|2013-12-30|Lam Res Corp|Arrangements and methods for determining positions and offsets in plasma processing system| US20090165721A1|2007-12-27|2009-07-02|Memc Electronic Materials, Inc.|Susceptor with Support Bosses| US8333839B2|2007-12-27|2012-12-18|Synos Technology, Inc.|Vapor deposition reactor| US8496377B2|2007-12-31|2013-07-30|Covidien Lp|Thermometer having molded probe component| KR101013413B1|2008-01-07|2011-02-14|한국과학기술연구원|플라즈마 표면 처리를 이용한 투명 기체 차단 필름의 제조방법 및 이로부터 제조된 투명 기체 차단 필름| US7935940B1|2008-01-08|2011-05-03|Novellus Systems, Inc.|Measuring in-situ UV intensity in UV cure tool| US8198567B2|2008-01-15|2012-06-12|Applied Materials, Inc.|High temperature vacuum chuck assembly| US20110049100A1|2008-01-16|2011-03-03|Charm Engineering Co., Ltd.|Substrate holder, substrate supporting apparatus, substrate processing apparatus, and substrate processing method using the same| US20090186571A1|2008-01-22|2009-07-23|Asm America, Inc.|Air ventilation system| US20110308453A1|2008-01-31|2011-12-22|Applied Materials, Inc.|Closed loop mocvd deposition control| WO2009095898A1|2008-02-01|2009-08-06|L'air Liquide-Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude|New metal precursors containing beta-diketiminato ligands| US20090203197A1|2008-02-08|2009-08-13|Hiroji Hanawa|Novel method for conformal plasma immersed ion implantation assisted by atomic layer deposition| US20090200494A1|2008-02-11|2009-08-13|Varian Semiconductor Equipment Associates, Inc.|Techniques for cold implantation of carbon-containing species| KR100988390B1|2008-02-11|2010-10-18|성균관대학교산학협력단|기판처리장치 및 기판처리방법| KR101043211B1|2008-02-12|2011-06-22|신웅철|배치형 원자층 증착 장치| GB0802486D0|2008-02-12|2008-03-19|Gilbert Patrick C|Warm water economy device| US7795045B2|2008-02-13|2010-09-14|Icemos Technology Ltd.|Trench depth monitor for semiconductor manufacturing| US20090206056A1|2008-02-14|2009-08-20|Songlin Xu|Method and Apparatus for Plasma Process Performance Matching in Multiple Wafer Chambers| JP2009194248A|2008-02-15|2009-08-27|Tokyo Electron Ltd|パターン形成方法、半導体製造装置及び記憶媒体| JP5233734B2|2008-02-20|2013-07-10|東京エレクトロン株式会社|ガス供給装置、成膜装置及び成膜方法| US20090214777A1|2008-02-22|2009-08-27|Demetrius Sarigiannis|Multiple ampoule delivery systems| WO2009107718A1|2008-02-27|2009-09-03|東京エレクトロン株式会社|プラズマエッチング処理装置およびプラズマエッチング処理方法| KR100968132B1|2008-02-29|2010-07-06|얼라이드 테크 파인더즈|안테나 및 이를 구비한 반도체 장치| US7727866B2|2008-03-05|2010-06-01|Varian Semiconductor Equipment Associates, Inc.|Use of chained implants in solar cells| USD585968S1|2008-03-06|2009-02-03|West Coast Washers, Inc.|Pipe flashing| US7977256B2|2008-03-06|2011-07-12|Tokyo Electron Limited|Method for removing a pore-generating material from an uncured low-k dielectric film| US7858533B2|2008-03-06|2010-12-28|Tokyo Electron Limited|Method for curing a porous low dielectric constant dielectric film| EP2099067A1|2008-03-07|2009-09-09|Nederlandse Organisatie voor toegepast- natuurwetenschappelijk onderzoek TNO|Process for adjusting the friction coefficient between surfaces of two solid objects| JP5507097B2|2008-03-12|2014-05-28|富士フイルム株式会社|ペロブスカイト型酸化物とその製造方法、圧電体、圧電素子、液体吐出装置| GB2458507A|2008-03-20|2009-09-23|Tecvac Ltd|Oxidation of non ferrous metal components| US7695619B2|2008-03-21|2010-04-13|Pentair Filtration, Inc.|Modular drinking water filtration system with adapter rings for replaceable cartridges to assure proper fit| GB0805328D0|2008-03-25|2008-04-30|Aviza Technologies Ltd|Deposition of an amorphous layer| JP2009239082A|2008-03-27|2009-10-15|Tokyo Electron Ltd|ガス供給装置、処理装置及び処理方法| US8252114B2|2008-03-28|2012-08-28|Tokyo Electron Limited|Gas distribution system and method for distributing process gas in a processing system| US20090246399A1|2008-03-28|2009-10-01|Asm Japan K.K.|Method for activating reactive oxygen species for cleaning carbon-based film deposition| US7816278B2|2008-03-28|2010-10-19|Tokyo Electron Limited|In-situ hybrid deposition of high dielectric constant films using atomic layer deposition and chemical vapor deposition| USD590933S1|2008-03-31|2009-04-21|Mcp Industries, Inc.|Vent cap device| US7659158B2|2008-03-31|2010-02-09|Applied Materials, Inc.|Atomic layer deposition processes for non-volatile memory devices| JP2009252851A|2008-04-02|2009-10-29|Nikon Corp|露光装置及びデバイス製造方法| US7963736B2|2008-04-03|2011-06-21|Asm Japan K.K.|Wafer processing apparatus with wafer alignment device| JP5559036B2|2008-04-04|2014-07-23|株式会社ダイセル|フォトレジスト用ポリオール化合物| JP5007827B2|2008-04-04|2012-08-22|信越化学工業株式会社|ダブルパターン形成方法| US20090250955A1|2008-04-07|2009-10-08|Applied Materials, Inc.|Wafer transfer blade| US20090315093A1|2008-04-16|2009-12-24|Asm America, Inc.|Atomic layer deposition of metal carbide films using aluminum hydrocarbon compounds| WO2009129391A2|2008-04-17|2009-10-22|Applied Materials, Inc.|Low temperature thin film transistor process, device property, and device stability improvement| US8741062B2|2008-04-22|2014-06-03|Picosun Oy|Apparatus and methods for deposition reactors| US8900422B2|2008-04-23|2014-12-02|Intermolecular, Inc.|Yttrium and titanium high-K dielectric film| US20090269506A1|2008-04-24|2009-10-29|Seiji Okura|Method and apparatus for cleaning of a CVD reactor| WO2009131132A1|2008-04-25|2009-10-29|Semiconductor Energy Laboratory Co., Ltd.|Semiconductor device and method for manufacturing the same| US8383525B2|2008-04-25|2013-02-26|Asm America, Inc.|Plasma-enhanced deposition process for forming a metal oxide thin film and related structures| JP5404772B2|2008-04-28|2014-02-05|ビーエーエスエフソシエタス・ヨーロピア|ツイン重合によって得られるLow−k誘電体| US20090269507A1|2008-04-29|2009-10-29|Sang-Ho Yu|Selective cobalt deposition on copper surfaces| US8252194B2|2008-05-02|2012-08-28|Micron Technology, Inc.|Methods of removing silicon oxide| US8129288B2|2008-05-02|2012-03-06|Intermolecular, Inc.|Combinatorial plasma enhanced deposition techniques| US7632549B2|2008-05-05|2009-12-15|Asm Japan K.K.|Method of forming a high transparent carbon film| US20090280248A1|2008-05-06|2009-11-12|Asm America, Inc.|Porous substrate holder with thinned portions| US8076237B2|2008-05-09|2011-12-13|Asm America, Inc.|Method and apparatus for 3D interconnect| US8277670B2|2008-05-13|2012-10-02|Lam Research Corporation|Plasma process with photoresist mask pretreatment| US20090286402A1|2008-05-13|2009-11-19|Applied Materials, Inc|Method for critical dimension shrink using conformal pecvd films| KR101528528B1|2008-05-14|2015-06-12|어플라이드 머티어리얼스, 인코포레이티드|Rf 전력 전달을 위한 시간 분해된 조정 방식을 이용하는 펄스화된 플라즈마 처리를 위한 방법 및 장치| US8333842B2|2008-05-15|2012-12-18|Applied Materials, Inc.|Apparatus for etching semiconductor wafers| US10041169B2|2008-05-27|2018-08-07|Picosun Oy|System and method for loading a substrate holder carrying a batch of vertically placed substrates into an atomic layer deposition reactor| EP2128299B1|2008-05-29|2016-12-28|General Electric Technology GmbH|Multilayer thermal barrier coating| US7622369B1|2008-05-30|2009-11-24|Asm Japan K.K.|Device isolation technology on semiconductor substrate| US8298628B2|2008-06-02|2012-10-30|Air Products And Chemicals, Inc.|Low temperature deposition of silicon-containing films| US20110056513A1|2008-06-05|2011-03-10|Axel Hombach|Method for treating surfaces, lamp for said method, and irradiation system having said lamp| TWI463032B|2008-06-05|2014-12-01|Air Liquide|含鑭系元素前驅物的製備和含鑭系元素薄膜的沈積| JP2009295932A|2008-06-09|2009-12-17|Canon Inc|露光装置及びデバイス製造方法| US7915667B2|2008-06-11|2011-03-29|Qimonda Ag|Integrated circuits having a contact region and methods for manufacturing the same| US20090308315A1|2008-06-13|2009-12-17|Asm International N.V.|Semiconductor processing apparatus with improved thermal characteristics and method for providing the same| US7946762B2|2008-06-17|2011-05-24|Asm America, Inc.|Thermocouple| CN102047388A|2008-06-20|2011-05-04|应用材料股份有限公司|气体分布喷头裙部| US8726837B2|2008-06-23|2014-05-20|Applied Materials, Inc.|Semiconductor process chamber vision and monitoring system| CN102077338A|2008-06-24|2011-05-25|应用材料股份有限公司|用于低温pecvd应用的基座加热器| US20090325391A1|2008-06-30|2009-12-31|Asm International Nv|Ozone and teos process for silicon oxide deposition| KR101036605B1|2008-06-30|2011-05-24|세메스 주식회사|기판 지지 유닛 및 이를 이용한 매엽식 기판 연마 장치| US8291857B2|2008-07-03|2012-10-23|Applied Materials, Inc.|Apparatuses and methods for atomic layer deposition| US8206506B2|2008-07-07|2012-06-26|Lam Research Corporation|Showerhead electrode| JP2010021204A|2008-07-08|2010-01-28|Toshiba Corp|半導体装置及びその製造方法| US8702867B2|2008-07-08|2014-04-22|Jusung Engineering Co., Ltd.|Gas distribution plate and substrate treating apparatus including the same| US9997325B2|2008-07-17|2018-06-12|Verity Instruments, Inc.|Electron beam exciter for use in chemical analysis in processing systems| US8058138B2|2008-07-17|2011-11-15|Micron Technology, Inc.|Gap processing| USD614593S1|2008-07-21|2010-04-27|Asm Genitech Korea Ltd|Substrate support for a semiconductor deposition apparatus| USD609652S1|2008-07-22|2010-02-09|Tokyo Electron Limited|Wafer attracting plate| WO2010017136A1|2008-08-04|2010-02-11|Amir Dassoud Dabiran|Microchannel plate photocathode| KR20100015213A|2008-08-04|2010-02-12|삼성전기주식회사|Cvd용 샤워 헤드 및 이를 구비하는 화학 기상 증착 장치| US20100034719A1|2008-08-06|2010-02-11|Christian Dussarrat|Novel lanthanide beta-diketonate precursors for lanthanide thin film deposition| USD600223S1|2008-08-07|2009-09-15|Ravinder Aggarwal|Susceptor ring| US8328585B2|2008-08-07|2012-12-11|Texas Instruments Incorporated|Modulated deposition process for stress control in thick TiN films| US20110220874A1|2008-08-08|2011-09-15|Tobias Hanrath|Inorganic Bulk Multijunction Materials and Processes for Preparing the Same| US8129555B2|2008-08-12|2012-03-06|Air Products And Chemicals, Inc.|Precursors for depositing silicon-containing films and methods for making and using same| KR101017170B1|2008-08-13|2011-02-25|주식회사 동부하이텍|백 메탈 공정챔버| US8263502B2|2008-08-13|2012-09-11|Synos Technology, Inc.|Forming substrate structure by filling recesses with deposition material| JP5338335B2|2008-08-13|2013-11-13|東京エレクトロン株式会社|搬送容器の開閉装置及びプローブ装置| US8147648B2|2008-08-15|2012-04-03|Lam Research Corporation|Composite showerhead electrode assembly for a plasma processing apparatus| US8563085B2|2009-08-18|2013-10-22|Samsung Electronics Co., Ltd.|Precursor composition, methods of forming a layer, methods of forming a gate structure and methods of forming a capacitor| JP5593472B2|2008-08-27|2014-09-24|株式会社日立国際電気|基板処理装置および半導体デバイスの製造方法| JP5188326B2|2008-08-28|2013-04-24|株式会社日立国際電気|半導体装置の製造方法、基板処理方法、及び基板処理装置| US8084104B2|2008-08-29|2011-12-27|Asm Japan K.K.|Atomic composition controlled ruthenium alloy film formed by plasma-enhanced atomic layer deposition| US20100055442A1|2008-09-03|2010-03-04|International Business Machines Corporation| METHOD OF PE-ALD OF SiNxCy AND INTEGRATION OF LINER MATERIALS ON POROUS LOW K SUBSTRATES| JP2010087467A|2008-09-04|2010-04-15|Tokyo Electron Ltd|成膜装置、基板処理装置、成膜方法及びこの成膜方法を実行させるためのプログラムを記録した記録媒体| JP5276388B2|2008-09-04|2013-08-28|東京エレクトロン株式会社|成膜装置及び基板処理装置| TW201011861A|2008-09-04|2010-03-16|Nanya Technology Corp|Method for fabricating integrated circuit| JP5107185B2|2008-09-04|2012-12-26|東京エレクトロン株式会社|成膜装置、基板処理装置、成膜方法及びこの成膜方法を実行させるためのプログラムを記録した記録媒体| KR101533138B1|2008-09-08|2015-07-01|시바우라 메카트로닉스 가부시끼가이샤|기판 처리 장치 및 기판 처리 방법| JP5226438B2|2008-09-10|2013-07-03|株式会社日立国際電気|基板処理装置、半導体装置の製造方法及び基板処理方法| US20110061810A1|2009-09-11|2011-03-17|Applied Materials, Inc.|Apparatus and Methods for Cyclical Oxidation and Etching| USD643055S1|2008-09-11|2011-08-09|Asm Japan K.K.|Heater block for use in a semiconductor processing tool| US8731706B2|2008-09-12|2014-05-20|Hitachi High-Technologies Corporation|Vacuum processing apparatus| US20100065758A1|2008-09-16|2010-03-18|Tokyo Electron Limited|Dielectric material treatment system and method of operating| US20100075037A1|2008-09-22|2010-03-25|Marsh Eugene P|Deposition Systems, ALD Systems, CVD Systems, Deposition Methods, ALD Methods and CVD Methods| US9711373B2|2008-09-22|2017-07-18|Taiwan Semiconductor Manufacturing Company, Ltd.|Method of fabricating a gate dielectric for high-k metal gate devices| JP2010077508A|2008-09-26|2010-04-08|Tokyo Electron Ltd|成膜装置及び基板処理装置| DE102008049353A1|2008-09-29|2010-04-08|Vat Holding Ag|Vakuumventil| JP4638550B2|2008-09-29|2011-02-23|東京エレクトロン株式会社|マスクパターンの形成方法、微細パターンの形成方法及び成膜装置| US9493875B2|2008-09-30|2016-11-15|Eugene Technology Co., Ltd.|Shower head unit and chemical vapor deposition apparatus| US20100090149A1|2008-10-01|2010-04-15|Compressor Engineering Corp.|Poppet valve assembly, system, and apparatus for use in high speed compressor applications| US20100081293A1|2008-10-01|2010-04-01|Applied Materials, Inc.|Methods for forming silicon nitride based film or silicon carbon based film| EP2332167A4|2008-10-03|2012-06-20|Veeco Process Equipment Inc|GAS PHASE epitaxy| USD609655S1|2008-10-03|2010-02-09|Ngk Insulators, Ltd.|Electrostatic chuck| CN103337453B|2008-10-07|2017-10-24|应用材料公司|用于从蚀刻基板有效地移除卤素残余物的设备| KR101627297B1|2008-10-13|2016-06-03|한국에이에스엠지니텍 주식회사|플라즈마 처리부 및 이를 포함하는 증착 장치 및 증착 방법| KR101357181B1|2008-10-14|2014-01-29|어플라이드 머티어리얼스, 인코포레이티드|플라즈마-강화 화학적 기상 증착에 의해 등각성 비정질 탄소막을 증착하기 위한 방법| US8133555B2|2008-10-14|2012-03-13|Asm Japan K.K.|Method for forming metal film by ALD using beta-diketone metal complex| WO2010044978A1|2008-10-15|2010-04-22|Arizona Board of Regents, a body corporate acting for and on behalf of Arizona State University|Hybrid group iv/iii-v semiconductor structures| US7745346B2|2008-10-17|2010-06-29|Novellus Systems, Inc.|Method for improving process control and film conformality of PECVD film| JP2010097834A|2008-10-17|2010-04-30|Ushio Inc|バックライトユニット| US8114734B2|2008-10-21|2012-02-14|United Microelectronics Corp.|Metal capacitor and method of making the same| US8697189B2|2008-10-21|2014-04-15|Intevac, Inc.|Method and apparatus for precision surface modification in nano-imprint lithography| US7964858B2|2008-10-21|2011-06-21|Applied Materials, Inc.|Ultraviolet reflector with coolant gas holes and method| US7967913B2|2008-10-22|2011-06-28|Applied Materials, Inc.|Remote plasma clean process with cycled high and low pressure clean steps| US20100102417A1|2008-10-27|2010-04-29|Applied Materials, Inc.|Vapor deposition method for ternary compounds| US8185443B2|2008-10-27|2012-05-22|Ebay, Inc.|Method and apparatus for authorizing a payment via a remote device| WO2010053866A2|2008-11-07|2010-05-14|Asm America, Inc.|Reaction chamber| JP5410074B2|2008-11-07|2014-02-05|東京エレクトロン株式会社|オゾンガス濃度測定方法、オゾンガス濃度測定システム及び基板処理装置| JP5062143B2|2008-11-10|2012-10-31|東京エレクトロン株式会社|成膜装置| US10378106B2|2008-11-14|2019-08-13|Asm Ip Holding B.V.|Method of forming insulation film by modified PEALD| US8647722B2|2008-11-14|2014-02-11|Asm Japan K.K.|Method of forming insulation film using plasma treatment cycles| JP2010153769A|2008-11-19|2010-07-08|Tokyo Electron Ltd|基板位置検出装置、基板位置検出方法、成膜装置、成膜方法、プログラム及びコンピュータ可読記憶媒体| US20100130017A1|2008-11-21|2010-05-27|Axcelis Technologies, Inc.|Front end of line plasma mediated ashing processes and apparatus| JP5225041B2|2008-11-21|2013-07-03|京セラ株式会社|静電チャック| KR101004434B1|2008-11-26|2010-12-28|세메스 주식회사|기판 지지 유닛과, 이를 이용한 기판 연마 장치 및 방법| US8714169B2|2008-11-26|2014-05-06|Semes Co. Ltd.|Spin head, apparatus for treating substrate, and method for treating substrate| US9714465B2|2008-12-01|2017-07-25|Applied Materials, Inc.|Gas distribution blocker apparatus| US8138676B2|2008-12-01|2012-03-20|Mills Robert L|Methods and systems for dimmable fluorescent lighting using multiple frequencies| US8252659B2|2008-12-02|2012-08-28|Imec|Method for producing interconnect structures for integrated circuits| US8262287B2|2008-12-08|2012-09-11|Asm America, Inc.|Thermocouple| JP5390846B2|2008-12-09|2014-01-15|東京エレクトロン株式会社|プラズマエッチング装置及びプラズマクリーニング方法| US8765233B2|2008-12-09|2014-07-01|Asm Japan K.K.|Method for forming low-carbon CVD film for filling trenches| JP5356005B2|2008-12-10|2013-12-04|株式会社東芝|不揮発性半導体記憶装置及びその製造方法| US20100151206A1|2008-12-11|2010-06-17|Air Products And Chemicals, Inc.|Method for Removal of Carbon From An Organosilicate Material| US8033771B1|2008-12-11|2011-10-11|Novellus Systems, Inc.|Minimum contact area wafer clamping with gas flow for rapid wafer cooling| US7902009B2|2008-12-11|2011-03-08|Intel Corporation|Graded high germanium compound films for strained semiconductor devices| WO2010071101A1|2008-12-15|2010-06-24|東京エレクトロン株式会社|基板処理システム、基板処理方法およびプログラムを記憶した記憶媒体| US8557712B1|2008-12-15|2013-10-15|Novellus Systems, Inc.|PECVD flowable dielectric gap fill| US9379011B2|2008-12-19|2016-06-28|Asm International N.V.|Methods for depositing nickel films and for making nickel silicide and nickel germanide| WO2010075467A1|2008-12-23|2010-07-01|Mks Instruments, Inc.|Reactive chemical containment system| KR20100075070A|2008-12-24|2010-07-02|삼성전자주식회사|비휘발성 메모리 장치의 제조 방법| US8816424B2|2008-12-26|2014-08-26|SK Hynix Inc.|Nonvolatile memory device| JP2010157536A|2008-12-26|2010-07-15|Nuflare Technology Inc|サセプタの製造方法| TWI465599B|2008-12-29|2014-12-21|K C Tech Co Ltd|原子層沉積裝置| US20100183825A1|2008-12-31|2010-07-22|Cambridge Nanotech Inc.|Plasma atomic layer deposition system and method| KR101111063B1|2008-12-31|2012-02-16|엘아이지에이디피 주식회사|기판합착장치| US7964490B2|2008-12-31|2011-06-21|Intel Corporation|Methods of forming nickel sulfide film on a semiconductor device| US9640396B2|2009-01-07|2017-05-02|Brewer Science Inc.|Spin-on spacer materials for double- and triple-patterning lithography| US8216380B2|2009-01-08|2012-07-10|Asm America, Inc.|Gap maintenance for opening to process chamber| US20100176513A1|2009-01-09|2010-07-15|International Business Machines Corporation|Structure and method of forming metal interconnect structures in ultra low-k dielectrics| US20100178137A1|2009-01-11|2010-07-15|Applied Materials, Inc.|Systems, apparatus and methods for moving substrates| US8151814B2|2009-01-13|2012-04-10|Asm Japan K.K.|Method for controlling flow and concentration of liquid precursor| US8591659B1|2009-01-16|2013-11-26|Novellus Systems, Inc.|Plasma clean method for deposition chamber| USD606952S1|2009-01-16|2009-12-29|Asm Genitech Korea Ltd.|Plasma inducing plate for semiconductor deposition apparatus| US7919416B2|2009-01-21|2011-04-05|Asm Japan K.K.|Method of forming conformal dielectric film having Si-N bonds by PECVD| US8142862B2|2009-01-21|2012-03-27|Asm Japan K.K.|Method of forming conformal dielectric film having Si-N bonds by PECVD| US7972980B2|2009-01-21|2011-07-05|Asm Japan K.K.|Method of forming conformal dielectric film having Si-N bonds by PECVD| US8680650B2|2009-02-03|2014-03-25|Micron Technology, Inc.|Capacitor structures having improved area efficiency| US8307472B1|2009-02-04|2012-11-13|Thomas Jason Saxon|Light emitting diode system| KR101691044B1|2009-02-04|2016-12-29|맷슨 테크놀로지, 인크.|기판의 표면에 걸친 온도 프로파일을 방사상으로 튜닝하는 정전 척 시스템 및 방법| US8287648B2|2009-02-09|2012-10-16|Asm America, Inc.|Method and apparatus for minimizing contamination in semiconductor processing chamber| US8663735B2|2009-02-13|2014-03-04|Advanced Technology Materials, Inc.|In situ generation of RuO4 for ALD of Ru and Ru related materials| EP2397574A4|2009-02-16|2013-08-14|Mitsubishi Plastics Inc|METHOD FOR PRODUCING A MULTILAYER GAS-REINFORCED FILM| CN102341891A|2009-03-04|2012-02-01|富士电机株式会社|成膜方法与成膜装置| JP2010205967A|2009-03-04|2010-09-16|Tokyo Electron Ltd|プラズマエッチング方法、プラズマエッチング装置及びコンピュータ記憶媒体| KR101049801B1|2009-03-05|2011-07-15|삼성모바일디스플레이주식회사|다결정 실리콘층의 제조방법 및 이에 이용되는 원자층 증착장치| USD616394S1|2009-03-06|2010-05-25|Tokyo Electron Limited|Support of wafer boat for manufacturing semiconductor wafers| JP5221421B2|2009-03-10|2013-06-26|東京エレクトロン株式会社|シャワーヘッド及びプラズマ処理装置| JP2010239115A|2009-03-10|2010-10-21|Hitachi Kokusai Electric Inc|基板処理装置| US8703624B2|2009-03-13|2014-04-22|Air Products And Chemicals, Inc.|Dielectric films comprising silicon and methods for making same| JP5275094B2|2009-03-13|2013-08-28|東京エレクトロン株式会社|基板処理方法| EP2230703A3|2009-03-18|2012-05-02|Semiconductor Energy Laboratory Co., Ltd.|Manufacturing apparatus and manufacturing method of lighting device| KR101583608B1|2009-03-24|2016-01-08|삼성전자 주식회사|무기계 실리콘 전구체를 이용한 실리콘 산화막의 형성 방법및 이를 이용한 반도체 장치의 제조 방법| WO2010109848A1|2009-03-26|2010-09-30|パナソニック株式会社|プラズマ処理装置及びプラズマ処理方法| US9004744B1|2009-03-30|2015-04-14|Techni-Blend, Inc.|Fluid mixer using countercurrent injection| JP5292160B2|2009-03-31|2013-09-18|東京エレクトロン株式会社|ガス流路構造体及び基板処理装置| US8118484B2|2009-03-31|2012-02-21|Rosemount Inc.|Thermocouple temperature sensor with connection detection circuitry| US8197915B2|2009-04-01|2012-06-12|Asm Japan K.K.|Method of depositing silicon oxide film by plasma enhanced atomic layer deposition at low temperature| JP5647792B2|2009-04-01|2015-01-07|ピーエスフォー ルクスコ エスエイアールエルPS4 Luxco S.a.r.l.|キャパシタ用容量絶縁膜の製造方法| US8284601B2|2009-04-01|2012-10-09|Samsung Electronics Co., Ltd.|Semiconductor memory device comprising three-dimensional memory cell array| US9394608B2|2009-04-06|2016-07-19|Asm America, Inc.|Semiconductor processing reactor and components thereof| US8486191B2|2009-04-07|2013-07-16|Asm America, Inc.|Substrate reactor with adjustable injectors for mixing gases within reaction chamber| US8402918B2|2009-04-07|2013-03-26|Lam Research Corporation|Showerhead electrode with centering feature| JP5338443B2|2009-04-14|2013-11-13|信越半導体株式会社|Soiウェーハの製造方法| US9431237B2|2009-04-20|2016-08-30|Applied Materials, Inc.|Post treatment methods for oxide layers on semiconductor devices| US8404499B2|2009-04-20|2013-03-26|Applied Materials, Inc.|LED substrate processing| US20100266765A1|2009-04-21|2010-10-21|White Carl L|Method and apparatus for growing a thin film onto a substrate| US9312154B2|2009-04-21|2016-04-12|Applied Materials, Inc.|CVD apparatus for improved film thickness non-uniformity and particle performance| JP5204031B2|2009-04-22|2013-06-05|Jfe鋼板株式会社|嵌合式折板屋根材| US8071452B2|2009-04-27|2011-12-06|Asm America, Inc.|Atomic layer deposition of hafnium lanthanum oxides| JP5136574B2|2009-05-01|2013-02-06|東京エレクトロン株式会社|プラズマ処理装置及びプラズマ処理方法| US8382370B2|2009-05-06|2013-02-26|Asm America, Inc.|Thermocouple assembly with guarded thermocouple junction| US9297705B2|2009-05-06|2016-03-29|Asm America, Inc.|Smart temperature measuring device| US8100583B2|2009-05-06|2012-01-24|Asm America, Inc.|Thermocouple| KR20100032812A|2009-05-11|2010-03-26|주식회사 테스|화학기상증착 장치와 이를 이용한 기판 처리 시스템| US8962876B2|2009-05-15|2015-02-24|Wayne State University|Thermally stable volatile film precursors| US7842622B1|2009-05-15|2010-11-30|Asm Japan K.K.|Method of forming highly conformal amorphous carbon layer| KR101311621B1|2009-05-20|2013-09-26|가부시끼가이샤 도시바|요철 패턴 형성 방법| US8004198B2|2009-05-28|2011-08-23|Osram Sylvania Inc.|Resetting an electronic ballast in the event of fault| KR101064210B1|2009-06-01|2011-09-14|한국생산기술연구원|막증착 진공장비용 샤워헤드| BRPI1011427A2|2009-06-05|2016-03-15|Andrew Llc|conector coaxial de extremidade de cabo não preparada| US20100317198A1|2009-06-12|2010-12-16|Novellus Systems, Inc.|Remote plasma processing of interface surfaces| JP5456036B2|2009-06-12|2014-03-26|株式会社東芝|不揮発性半導体記憶装置| USD652896S1|2009-06-17|2012-01-24|Neoperl Gmbh|Faucet stream former| US7825040B1|2009-06-22|2010-11-02|Asm Japan K.K.|Method for depositing flowable material using alkoxysilane or aminosilane precursor| JP5285519B2|2009-07-01|2013-09-11|パナソニック株式会社|半導体装置及びその製造方法| KR101110080B1|2009-07-08|2012-03-13|주식회사 유진테크|확산판을 선택적으로 삽입설치하는 기판처리방법| US20110006406A1|2009-07-08|2011-01-13|Imec|Fabrication of porogen residues free and mechanically robust low-k materials| JP2012533680A|2009-07-14|2012-12-27|レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード|高温でのiv族金属含有膜の堆積| JP2011023718A|2009-07-15|2011-02-03|Asm Japan Kk|PEALDによってSi−N結合を有するストレス調節された誘電体膜を形成する方法| JP5646478B2|2009-07-17|2014-12-24|三井化学株式会社|積層体およびその製造方法| JP5223804B2|2009-07-22|2013-06-26|東京エレクトロン株式会社|成膜方法及び成膜装置| KR101245769B1|2009-07-28|2013-03-20|엘아이지에이디피 주식회사|화학기상증착장치, 화학기상증착장치용 가이드부재 및 화학기상증착장치를 이용한 박막제조방법| US8071451B2|2009-07-29|2011-12-06|Axcelis Technologies, Inc.|Method of doping semiconductors| JP5618505B2|2009-07-30|2014-11-05|テクノクオーツ株式会社|石英ガラス部材の再生方法| US8741788B2|2009-08-06|2014-06-03|Applied Materials, Inc.|Formation of silicon oxide using non-carbon flowable CVD processes| US8258588B2|2009-08-07|2012-09-04|Taiwan Semiconductor Manufacturing Company, Ltd.|Sealing layer of a field effect transistor| US8883270B2|2009-08-14|2014-11-11|Asm America, Inc.|Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species| US8802201B2|2009-08-14|2014-08-12|Asm America, Inc.|Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species| KR101031226B1|2009-08-21|2011-04-29|에이피시스템 주식회사|급속열처리 장치의 히터블록| US9117773B2|2009-08-26|2015-08-25|Asm America, Inc.|High concentration water pulses for atomic layer deposition| US9117769B2|2009-08-27|2015-08-25|Tokyo Electron Limited|Plasma etching method| US20110117728A1|2009-08-27|2011-05-19|Applied Materials, Inc.|Method of decontamination of process chamber after in-situ chamber clean| USD634719S1|2009-08-27|2011-03-22|Ebara Corporation|Elastic membrane for semiconductor wafer polishing apparatus| WO2011026064A1|2009-08-31|2011-03-03|The Penn State Research Foundation|Improved plasma enhanced atomic layer deposition process| JP2011054708A|2009-09-01|2011-03-17|Elpida Memory Inc|絶縁膜およびその製造方法、半導体装置、ならびにデータ処理システム| JP5457109B2|2009-09-02|2014-04-02|東京エレクトロン株式会社|プラズマ処理装置| US9012333B2|2009-09-09|2015-04-21|Spansion Llc|Varied silicon richness silicon nitride formation| KR200479181Y1|2009-09-10|2015-12-30|램 리써치 코포레이션|플라즈마 처리 장치의 교체가능한 상부 체임버 부품| JP2011082493A|2009-09-14|2011-04-21|Hitachi Kokusai Electric Inc|半導体装置の製造方法及び基板処理装置| SG169960A1|2009-09-18|2011-04-29|Lam Res Corp|Clamped monolithic showerhead electrode| JP5504793B2|2009-09-26|2014-05-28|東京エレクトロン株式会社|熱処理装置及び冷却方法| US20120183689A1|2009-09-29|2012-07-19|Tokyo Electron Limited|Ni film forming method| JP5467007B2|2009-09-30|2014-04-09|株式会社日立国際電気|半導体装置の製造方法および基板処理装置| EP2306497B1|2009-10-02|2012-06-06|Imec|Method for manufacturing a low defect interface between a dielectric and a III/V compound| WO2011043337A1|2009-10-05|2011-04-14|国立大学法人東北大学|低誘電率絶縁膜およびその形成方法| US8544317B2|2009-10-09|2013-10-01|Taiwan Semiconductor Manufacturing Co., Ltd.|Semiconductor processing apparatus with simultaneously movable stages| US8415259B2|2009-10-14|2013-04-09|Asm Japan K.K.|Method of depositing dielectric film by modified PEALD method| US8173554B2|2009-10-14|2012-05-08|Asm Japan K.K.|Method of depositing dielectric film having Si-N bonds by modified peald method| US8465791B2|2009-10-16|2013-06-18|Msp Corporation|Method for counting particles in a gas| US8637794B2|2009-10-21|2014-01-28|Lam Research Corporation|Heating plate with planar heating zones for semiconductor processing| JP5731519B2|2009-10-26|2015-06-10|エーエスエム インターナショナル エヌ.ヴェー.Asm International N.V.|Va族元素を含む薄膜のaldのための前駆体の合成及び使用| US20110097901A1|2009-10-26|2011-04-28|Applied Materials, Inc.|Dual mode inductively coupled plasma reactor with adjustable phase coil assembly| JP5451324B2|2009-11-10|2014-03-26|株式会社日立ハイテクノロジーズ|プラズマ処理装置| US8854734B2|2009-11-12|2014-10-07|Vela Technologies, Inc.|Integrating optical system and methods| US8528224B2|2009-11-12|2013-09-10|Novellus Systems, Inc.|Systems and methods for at least partially converting films to silicon oxide and/or improving film quality using ultraviolet curing in steam and densification of films using UV curing in ammonia| JP4948587B2|2009-11-13|2012-06-06|東京エレクトロン株式会社|フォトレジスト塗布現像装置、基板搬送方法、インターフェイス装置| HUE032915T2|2009-11-13|2017-11-28|Basf Se|Eljárás egy klór-betáplálás tisztítására| US8367528B2|2009-11-17|2013-02-05|Asm America, Inc.|Cyclical epitaxial deposition and etch| US8329585B2|2009-11-17|2012-12-11|Lam Research Corporation|Method for reducing line width roughness with plasma pre-etch treatment on photoresist| JP5753351B2|2009-11-19|2015-07-22|ローム アンド ハース エレクトロニック マテリアルズ エルエルシーRohm and Haas Electronic Materials LLC|電子デバイスを形成する方法| KR20110055912A|2009-11-20|2011-05-26|주식회사 하이닉스반도체|반도체 소자의 콘택홀 형성방법| AU329418S|2009-11-23|2010-01-29||Pusher tool| US8389977B2|2009-12-10|2013-03-05|Transphorm Inc.|Reverse side engineered III-nitride devices| US20110139748A1|2009-12-15|2011-06-16|University Of Houston|Atomic layer etching with pulsed plasmas| US8328494B2|2009-12-15|2012-12-11|Varian Semiconductor Equipment Associates, Inc.|In vacuum optical wafer heater for cryogenic processing| US20110159202A1|2009-12-29|2011-06-30|Asm Japan K.K.|Method for Sealing Pores at Surface of Dielectric Layer by UV Light-Assisted CVD| KR20110078326A|2009-12-31|2011-07-07|삼성전자주식회사|유전막 형성 방법 및 이를 이용한 반도체 소자 제조 방법| USD653734S1|2010-01-08|2012-02-07|Bulk Tank, Inc.|Screened gasket| JP2011144412A|2010-01-13|2011-07-28|Honda Motor Co Ltd|プラズマ成膜装置| JP2011166106A|2010-01-13|2011-08-25|Renesas Electronics Corp|半導体装置の製造方法及び半導体装置| JP5549441B2|2010-01-14|2014-07-16|東京エレクトロン株式会社|保持体機構、ロードロック装置、処理装置及び搬送機構| USD651291S1|2010-01-24|2011-12-27|Glv International Ltd.|Duct connector ring| US20110183269A1|2010-01-25|2011-07-28|Hongbin Zhu|Methods Of Forming Patterns, And Methods For Trimming Photoresist Features| US20110180233A1|2010-01-27|2011-07-28|Applied Materials, Inc.|Apparatus for controlling temperature uniformity of a showerhead| US8480942B2|2010-01-27|2013-07-09|The Board Of Trustees Of The University Of Illinois|Method of forming a patterned layer of a material on a substrate| JP5107372B2|2010-02-04|2012-12-26|東京エレクトロン株式会社|熱処理装置、塗布現像処理システム、熱処理方法、塗布現像処理方法及びその熱処理方法又は塗布現像処理方法を実行させるためのプログラムを記録した記録媒体| WO2011096208A1|2010-02-05|2011-08-11|東京エレクトロン株式会社|基板保持具及び基板搬送装置及び基板処理装置| JP2011162830A|2010-02-09|2011-08-25|Fuji Electric Co Ltd|プラズマcvdによる成膜方法、成膜済基板および成膜装置| KR101080604B1|2010-02-09|2011-11-04|성균관대학교산학협력단|원자층 식각 장치 및 이를 이용한 식각 방법| US8828182B2|2010-02-12|2014-09-09|Applied Materials, Inc.|Process chamber gas flow improvements| US8562272B2|2010-02-16|2013-10-22|Lam Research Corporation|Substrate load and unload mechanisms for high throughput| US8293658B2|2010-02-17|2012-10-23|Asm America, Inc.|Reactive site deactivation against vapor deposition| US8859047B2|2010-02-23|2014-10-14|L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude|Use of ruthenium tetroxide as a precursor and reactant for thin film depositions| CN102770200B|2010-02-23|2014-12-10|旭有机材工业株式会社|直通式流体混合装置| USD625977S1|2010-02-25|2010-10-26|Vertex Stone and Chinaware Ltd.|Spacer tool| JP2011181681A|2010-03-01|2011-09-15|Mitsui Eng & Shipbuild Co Ltd|原子層堆積方法及び原子層堆積装置| US8241991B2|2010-03-05|2012-08-14|Asm Japan K.K.|Method for forming interconnect structure having airgap| KR101810532B1|2010-03-12|2017-12-19|어플라이드 머티어리얼스, 인코포레이티드|다중 인젝트를 이용하는 원자 층 증착 챔버| FR2957716B1|2010-03-18|2012-10-05|Soitec Silicon On Insulator|Procede de finition d'un substrat de type semi-conducteur sur isolant| US8039388B1|2010-03-24|2011-10-18|Taiwam Semiconductor Manufacturing Company, Ltd.|Main spacer trim-back method for replacement gate process| US8709551B2|2010-03-25|2014-04-29|Novellus Systems, Inc.|Smooth silicon-containing films| US8242460B2|2010-03-29|2012-08-14|Tokyo Electron Limited|Ultraviolet treatment apparatus| KR101226876B1|2010-04-01|2013-01-28|레르 리키드 쏘시에떼 아노님 뿌르 레?드에렉스뿔라따시옹 데 프로세데 조르즈 클로드|아미노-금속 및 할로겐화 금속 전구체의 조합을 사용한 금속 질화물 함유 필름 퇴적| JP4733214B1|2010-04-02|2011-07-27|東京エレクトロン株式会社|マスクパターンの形成方法及び半導体装置の製造方法| US8252691B2|2010-04-14|2012-08-28|Asm Genitech Korea Ltd.|Method of forming semiconductor patterns| US20110256692A1|2010-04-14|2011-10-20|Applied Materials, Inc.|Multiple precursor concentric delivery showerhead| US9611544B2|2010-04-15|2017-04-04|Novellus Systems, Inc.|Plasma activated conformal dielectric film deposition| US8647993B2|2011-04-11|2014-02-11|Novellus Systems, Inc.|Methods for UV-assisted conformal film deposition| US8637411B2|2010-04-15|2014-01-28|Novellus Systems, Inc.|Plasma activated conformal dielectric film deposition| US8956983B2|2010-04-15|2015-02-17|Novellus Systems, Inc.|Conformal doping via plasma activated atomic layer deposition and conformal film deposition| US9997357B2|2010-04-15|2018-06-12|Lam Research Corporation|Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors| US8728956B2|2010-04-15|2014-05-20|Novellus Systems, Inc.|Plasma activated conformal film deposition| US9257274B2|2010-04-15|2016-02-09|Lam Research Corporation|Gapfill of variable aspect ratio features with a composite PEALD and PECVD method| US9076646B2|2010-04-15|2015-07-07|Lam Research Corporation|Plasma enhanced atomic layer deposition with pulsed plasma exposure| CZ303655B6|2010-04-16|2013-01-30|Skutchanová@Zuzana|Zpusob výroby brousicího povrchu skleneného kosmetického prípravku| KR101121858B1|2010-04-27|2012-03-21|주식회사 하이닉스반도체|반도체 소자의 제조 방법| CH702999A1|2010-04-29|2011-10-31|Amt Ag|Vorrichtung zur Beschichtung von Substraten mittels Hochgeschwindigkeitsflammspritzen.| US9922854B2|2010-04-30|2018-03-20|Applied Materials, Inc.|Vertical inline CVD system| US20110265951A1|2010-04-30|2011-11-03|Applied Materials, Inc.|Twin chamber processing system| US8496756B2|2010-04-30|2013-07-30|Applied Materials, Inc.|Methods for processing substrates in process systems having shared resources| US8707754B2|2010-04-30|2014-04-29|Applied Materials, Inc.|Methods and apparatus for calibrating flow controllers in substrate processing systems| US8877655B2|2010-05-07|2014-11-04|Asm America, Inc.|Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species| US8241992B2|2010-05-10|2012-08-14|International Business Machines Corporation|Method for air gap interconnect integration using photo-patternable low k material| US9441295B2|2010-05-14|2016-09-13|Solarcity Corporation|Multi-channel gas-delivery system| US20110294075A1|2010-05-25|2011-12-01|United Microelectronics Corp.|Patterning method| JP5889288B2|2010-05-28|2016-03-22|エクソンモービル アップストリーム リサーチ カンパニー|一体型吸着器ヘッド及び弁設計及びこれと関連したスイング吸着法| US8513129B2|2010-05-28|2013-08-20|Applied Materials, Inc.|Planarizing etch hardmask to increase pattern density and aspect ratio| JP5593384B2|2010-06-01|2014-09-24|パナソニック株式会社|プラズマ処理装置及びプラズマ処理方法| US8912353B2|2010-06-02|2014-12-16|Air Products And Chemicals, Inc.|Organoaminosilane precursors and methods for depositing films comprising same| US8637390B2|2010-06-04|2014-01-28|Applied Materials, Inc.|Metal gate structures and methods for forming thereof| CA2810160C|2010-06-09|2016-04-12|The Procter & Gamble Company|Semi-continuous feed production of liquid personal care compositions| TWI529808B|2010-06-10|2016-04-11|Asm國際股份有限公司|使膜選擇性沈積於基板上的方法| JP5525339B2|2010-06-10|2014-06-18|ナブテスコ株式会社|ロボットアーム| JP2012004401A|2010-06-18|2012-01-05|Fujitsu Semiconductor Ltd|半導体装置の製造方法| US8778745B2|2010-06-29|2014-07-15|Semiconductor Energy Laboratory Co., Ltd.|Method for manufacturing semiconductor device| KR20130044312A|2010-07-02|2013-05-02|매티슨 트라이-개스, 인크.|시클로헥사실란을 이용한 박막 및 이의 제조방법| US9373677B2|2010-07-07|2016-06-21|Entegris, Inc.|Doping of ZrO2 for DRAM applications| JP5405667B2|2010-07-22|2014-02-05|株式会社日立国際電気|基板処理装置および半導体装置の製造方法| US8721791B2|2010-07-28|2014-05-13|Applied Materials, Inc.|Showerhead support structure for improved gas flow| JP5490753B2|2010-07-29|2014-05-14|東京エレクトロン株式会社|トレンチの埋め込み方法および成膜システム| US8669185B2|2010-07-30|2014-03-11|Asm Japan K.K.|Method of tailoring conformality of Si-containing film| US9443753B2|2010-07-30|2016-09-13|Applied Materials, Inc.|Apparatus for controlling the flow of a gas in a process chamber| JP2012038819A|2010-08-04|2012-02-23|Sanyo Electric Co Ltd|半導体レーザ装置および光装置| US9449793B2|2010-08-06|2016-09-20|Lam Research Corporation|Systems, methods and apparatus for choked flow element extraction| US8357608B2|2010-08-09|2013-01-22|International Business Machines Corporation|Multi component dielectric layer| US9449858B2|2010-08-09|2016-09-20|Applied Materials, Inc.|Transparent reflector plate for rapid thermal processing chamber| US9783885B2|2010-08-11|2017-10-10|Unit Cell Diamond Llc|Methods for producing diamond mass and apparatus therefor| KR101249999B1|2010-08-12|2013-04-03|주식회사 디엠에스|화학기상증착 장치| KR101658492B1|2010-08-13|2016-09-21|삼성전자주식회사|미세 패턴의 형성 방법 및 이를 이용한 반도체 소자의 제조 방법| US9487600B2|2010-08-17|2016-11-08|Uchicago Argonne, Llc|Ordered nanoscale domains by infiltration of block copolymers| USD649986S1|2010-08-17|2011-12-06|Ebara Corporation|Sealing ring| US8685845B2|2010-08-20|2014-04-01|International Business Machines Corporation|Epitaxial growth of silicon doped with carbon and phosphorus using hydrogen carrier gas| US8945305B2|2010-08-31|2015-02-03|Micron Technology, Inc.|Methods of selectively forming a material using parylene coating| CN102383106B|2010-09-03|2013-12-25|甘志银|快速清除残余反应气体的金属有机物化学气相沉积反应腔体| EP2426233B1|2010-09-03|2013-05-01|L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude|Use of dialkyl monoalkoxy aluminum for the growth of Al2O3 thin films for photovoltaic applications| US8394466B2|2010-09-03|2013-03-12|Asm Japan K.K.|Method of forming conformal film having si-N bonds on high-aspect ratio pattern| US8573152B2|2010-09-03|2013-11-05|Lam Research Corporation|Showerhead electrode| US20120058630A1|2010-09-08|2012-03-08|Veeco Instruments Inc.|Linear Cluster Deposition System| US20120064690A1|2010-09-10|2012-03-15|Elpida Memory, Inc.|Method for manufacturing semiconductor device| JP5560147B2|2010-09-13|2014-07-23|東京エレクトロン株式会社|成膜方法及び半導体装置の製造方法| KR20120029291A|2010-09-16|2012-03-26|삼성전자주식회사|반도체 소자 및 그 제조 방법| US9685320B2|2010-09-23|2017-06-20|Lam Research Corporation|Methods for depositing silicon oxide| US8524612B2|2010-09-23|2013-09-03|Novellus Systems, Inc.|Plasma-activated deposition of conformal films| US8722548B2|2010-09-24|2014-05-13|International Business Machines Corporation|Structures and techniques for atomic layer deposition| US20120073400A1|2010-09-29|2012-03-29|John Wang|Handlebar grip assembly| US7994070B1|2010-09-30|2011-08-09|Tokyo Electron Limited|Low-temperature dielectric film formation by chemical vapor deposition| TW201224190A|2010-10-06|2012-06-16|Applied Materials Inc|Atomic layer deposition of photoresist materials and hard mask precursors| US8076250B1|2010-10-06|2011-12-13|Applied Materials, Inc.|PECVD oxide-nitride and oxide-silicon stacks for 3D memory application| FR2965888B1|2010-10-08|2012-12-28|Alcatel Lucent|Canalisation d'evacuation de gaz et procede d'evacuation associe| JP5638405B2|2010-10-08|2014-12-10|パナソニック株式会社|基板のプラズマ処理方法| US8664127B2|2010-10-15|2014-03-04|Applied Materials, Inc.|Two silicon-containing precursors for gapfill enhancing dielectric liner| JP5905476B2|2010-10-19|2016-04-20|アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated|Nanocureuvチャンバ用の石英シャワーヘッド| JP5636867B2|2010-10-19|2014-12-10|富士通株式会社|半導体装置及び半導体装置の製造方法| USD654882S1|2010-10-21|2012-02-28|Tokyo Electron Limited|Gas-separating plate for reactor for manufacturing semiconductor| USD654884S1|2010-10-21|2012-02-28|Tokyo Electron Limited|Top plate for reactor for manufacturing semiconductor| USD655260S1|2010-10-21|2012-03-06|Tokyo Electron Limited|Gas-separating plate for reactor for manufacturing semiconductor| US8192901B2|2010-10-21|2012-06-05|Asahi Glass Company, Limited|Glass substrate-holding tool| USD655261S1|2010-10-21|2012-03-06|Tokyo Electron Limited|Gas-separating plate for reactor for manufacturing semiconductor| WO2012053302A1|2010-10-21|2012-04-26|日産化学工業株式会社|Euvリソグラフィー用レジスト上層膜形成組成物| US8845806B2|2010-10-22|2014-09-30|Asm Japan K.K.|Shower plate having different aperture dimensions and/or distributions| US8926788B2|2010-10-27|2015-01-06|Lam Research Ag|Closed chamber for wafer wet processing| JP2013542613A|2010-10-27|2013-11-21|アプライドマテリアルズインコーポレイテッド|フォトレジスト線幅の荒れを制御するための方法及び装置| JP5544343B2|2010-10-29|2014-07-09|東京エレクトロン株式会社|成膜装置| KR20120047325A|2010-11-01|2012-05-11|삼성전자주식회사|3차원 반도체 장치 및 그 제조 방법| WO2012061593A2|2010-11-03|2012-05-10|Applied Materials, Inc.|Apparatus and methods for deposition of silicon carbide and silicon carbonitride films| US8470187B2|2010-11-05|2013-06-25|Asm Japan K.K.|Method of depositing film with tailored comformality| US20120114877A1|2010-11-05|2012-05-10|Synos Technology, Inc.|Radical Reactor with Multiple Plasma Chambers| JP5722595B2|2010-11-11|2015-05-20|株式会社日立国際電気|基板処理装置および半導体装置の製造方法| US20120121823A1|2010-11-12|2012-05-17|Applied Materials, Inc.|Process for lowering adhesion layer thickness and improving damage resistance for thin ultra low-k dielectric film| US20140144500A1|2010-11-22|2014-05-29|E I Du Pont De Nemours And Company|Semiconductor inks films, coated substrates and methods of preparation| KR20120055363A|2010-11-23|2012-05-31|삼성전자주식회사|커패시터 및 이를 포함하는 반도체 소자| KR20130055694A|2010-11-29|2013-05-28|가부시키가이샤 히다치 고쿠사이 덴키|반도체 장치의 제조 방법, 기판 처리 방법 및 기판 처리 장치| US8288758B2|2010-12-02|2012-10-16|International Business Machines Corporation|SOI SiGe-base lateral bipolar junction transistor| JP5608758B2|2010-12-09|2014-10-15|株式会社アルバック|有機薄膜形成装置| US20120149213A1|2010-12-09|2012-06-14|Lakshminarayana Nittala|Bottom up fill in high aspect ratio trenches| US9719169B2|2010-12-20|2017-08-01|Novellus Systems, Inc.|System and apparatus for flowable deposition in semiconductor fabrication| EP2656378B1|2010-12-20|2015-03-18|Ev Group E. Thallner GmbH|Aufnahmeeinrichtung zur halterung von wafern| US20120156890A1|2010-12-20|2012-06-21|Applied Materials, Inc.|In-situ low-k capping to improve integration damage resistance| JP5735304B2|2010-12-21|2015-06-17|株式会社日立国際電気|基板処理装置、基板の製造方法、半導体デバイスの製造方法およびガス供給管| US8314034B2|2010-12-23|2012-11-20|Intel Corporation|Feature size reduction| JP2012138500A|2010-12-27|2012-07-19|Tokyo Electron Ltd|タングステン膜又は酸化タングステン膜上への酸化シリコン膜の成膜方法及び成膜装置| JP5675331B2|2010-12-27|2015-02-25|東京エレクトロン株式会社|トレンチの埋め込み方法| JP5573666B2|2010-12-28|2014-08-20|東京エレクトロン株式会社|原料供給装置及び成膜装置| US8901016B2|2010-12-28|2014-12-02|Asm Japan K.K.|Method of forming metal oxide hardmask| FR2970110B1|2010-12-29|2013-09-06|St Microelectronics Crolles 2|Procede de fabrication d'une couche de dielectrique polycristalline| JP6104817B2|2010-12-30|2017-03-29|アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated|マイクロ波プラズマを用いた薄膜堆積| KR101306315B1|2011-01-11|2013-09-09|주식회사 디엠에스|화학기상증착 장치| JP5236755B2|2011-01-14|2013-07-17|東京エレクトロン株式会社|成膜装置及び成膜方法| JP5609663B2|2011-01-18|2014-10-22|旭硝子株式会社|ガラス基板保持手段、およびそれを用いたeuvマスクブランクスの製造方法| US20120180954A1|2011-01-18|2012-07-19|Applied Materials, Inc.|Semiconductor processing system and methods using capacitively coupled plasma| JP2012244180A|2011-05-24|2012-12-10|Macronix Internatl Co Ltd|多層接続構造及びその製造方法| JP5782279B2|2011-01-20|2015-09-24|株式会社Screenホールディングス|基板処理方法および基板処理装置| US8398773B2|2011-01-21|2013-03-19|Asm International N.V.|Thermal processing furnace and liner for the same| US8969823B2|2011-01-21|2015-03-03|Uchicago Argonne, Llc|Microchannel plate detector and methods for their fabrication| US8450191B2|2011-01-24|2013-05-28|Applied Materials, Inc.|Polysilicon films by HDP-CVD| US8524589B2|2011-01-26|2013-09-03|Applied Materials, Inc.|Plasma treatment of silicon nitride and silicon oxynitride| US8465811B2|2011-01-28|2013-06-18|Asm Japan K.K.|Method of depositing film by atomic layer deposition with pulse-time-modulated plasma| JP2012164736A|2011-02-04|2012-08-30|Hitachi Kokusai Electric Inc|基板処理装置及び半導体装置の製造方法| US20120263876A1|2011-02-14|2012-10-18|Asm Ip Holding B.V.|Deposition of silicon dioxide on hydrophobic surfaces| US8329599B2|2011-02-18|2012-12-11|Asm Japan K.K.|Method of depositing dielectric film by ALD using precursor containing silicon, hydrocarbon, and halogen| US8563443B2|2011-02-18|2013-10-22|Asm Japan K.K.|Method of depositing dielectric film by ALD using precursor containing silicon, hydrocarbon, and halogen| TWM412450U|2011-02-21|2011-09-21|Santoma Ltd|Ceramic Glass composite electrode and Fluorescent| JP2012195562A|2011-02-28|2012-10-11|Hitachi Kokusai Electric Inc|異径基板用アタッチメントおよび基板処理装置ならびに基板若しくは半導体デバイスの製造方法| US20120219824A1|2011-02-28|2012-08-30|Uchicago Argonne Llc|Atomic layer deposition of super-conducting niobium silicide| US9017457B2|2011-03-01|2015-04-28|Exxonmobil Upstream Research Company|Apparatus and systems having a reciprocating valve head assembly and swing adsorption processes related thereto| US8466411B2|2011-03-03|2013-06-18|Asm Japan K.K.|Calibration method of UV sensor for UV curing| US8735299B2|2011-03-03|2014-05-27|Tokyo Electron Limited|Semiconductor device manufacturing method and computer-readable storage medium| US8501605B2|2011-03-14|2013-08-06|Applied Materials, Inc.|Methods and apparatus for conformal doping| JP2012195513A|2011-03-17|2012-10-11|Tokyo Electron Ltd|プラズマ処理装置| JP5820731B2|2011-03-22|2015-11-24|株式会社日立国際電気|基板処理装置および固体原料補充方法| US8980418B2|2011-03-24|2015-03-17|Uchicago Argonne, Llc|Sequential infiltration synthesis for advanced lithography| US9684234B2|2011-03-24|2017-06-20|Uchicago Argonne, Llc|Sequential infiltration synthesis for enhancing multiple-patterning lithography| JP5203482B2|2011-03-28|2013-06-05|株式会社小松製作所|加熱装置| EP2691977B1|2011-03-31|2019-06-05|IMEC vzw|Method for growing a monocrystalline tin-containing semiconductor material| EP2694700B1|2011-04-07|2016-11-16|Picosun Oy|Atomic layer deposition with plasma source| US8371567B2|2011-04-13|2013-02-12|Novellus Systems, Inc.|Pedestal covers| US8298951B1|2011-04-13|2012-10-30|Asm Japan K.K.|Footing reduction using etch-selective layer| WO2012141067A1|2011-04-15|2012-10-18|タツモ株式会社|ウエハ交換装置およびウエハ支持用ハンド| US8871617B2|2011-04-22|2014-10-28|Asm Ip Holding B.V.|Deposition and reduction of mixed metal oxide thin films| US8492170B2|2011-04-25|2013-07-23|Applied Materials, Inc.|UV assisted silylation for recovery and pore sealing of damaged low K films| US8592005B2|2011-04-26|2013-11-26|Asm Japan K.K.|Atomic layer deposition for controlling vertical film growth| USD655055S1|2011-04-28|2012-02-28|Carolyn Grace Toll|Pet outfit| US8900402B2|2011-05-10|2014-12-02|Lam Research Corporation|Semiconductor processing system having multiple decoupled plasma sources| US8746284B2|2011-05-11|2014-06-10|Intermolecular, Inc.|Apparatus and method for multiple symmetrical divisional gas distribution| US8809170B2|2011-05-19|2014-08-19|Asm America Inc.|High throughput cyclical epitaxial deposition and etch process| US8771807B2|2011-05-24|2014-07-08|Air Products And Chemicals, Inc.|Organoaminosilane precursors and methods for making and using same| JP5730670B2|2011-05-27|2015-06-10|株式会社Adeka|酸化モリブデンを含有する薄膜の製造方法、及び酸化モリブデンを含有する薄膜の形成用原料| JP2013012719A|2011-05-31|2013-01-17|Hitachi Kokusai Electric Inc|基板処理装置および基板処理方法| US20120304935A1|2011-05-31|2012-12-06|Oosterlaken Theodorus G M|Bubbler assembly and method for vapor flow control| US9136180B2|2011-06-01|2015-09-15|Asm Ip Holding B.V.|Process for depositing electrode with high effective work function| WO2012167141A2|2011-06-03|2012-12-06|Novellus Systems, Inc.|Metal and silicon containing capping layers for interconnects| US8692319B2|2011-06-03|2014-04-08|Infineon Technologies Austria Ag|Lateral trench MESFET| US9312155B2|2011-06-06|2016-04-12|Asm Japan K.K.|High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules| US8927318B2|2011-06-14|2015-01-06|International Business Machines Corporation|Spalling methods to form multi-junction photovoltaic structure| WO2012173698A1|2011-06-15|2012-12-20|Applied Materials, Inc.|Methods and apparatus for controlling photoresist line width roughness with enhanced electron spin control| US9175392B2|2011-06-17|2015-11-03|Intermolecular, Inc.|System for multi-region processing| US9793148B2|2011-06-22|2017-10-17|Asm Japan K.K.|Method for positioning wafers in multiple wafer transport| US10364496B2|2011-06-27|2019-07-30|Asm Ip Holding B.V.|Dual section module having shared and unshared mass flow controllers| US8450212B2|2011-06-28|2013-05-28|International Business Machines Corporation|Method of reducing critical dimension process bias differences between narrow and wide damascene wires| US10707082B2|2011-07-06|2020-07-07|Asm International N.V.|Methods for depositing thin films comprising indium nitride by atomic layer deposition| US20140234550A1|2011-07-06|2014-08-21|Wayne State University|Atomic layer deposition of transition metal thin films| US8962400B2|2011-07-07|2015-02-24|Taiwan Semiconductor Manufacturing Company, Ltd.|In-situ doping of arsenic for source and drain epitaxy| US20130014697A1|2011-07-12|2013-01-17|Asm Japan K.K.|Container Having Multiple Compartments Containing Liquid Material for Multiple Wafer-Processing Chambers| JP6132242B2|2011-07-12|2017-05-24|パナソニックIpマネジメント株式会社|窒化物半導体装置およびその製造方法| US9018567B2|2011-07-13|2015-04-28|Asm International N.V.|Wafer processing apparatus with heated, rotating substrate support| US10854498B2|2011-07-15|2020-12-01|Asm Ip Holding B.V.|Wafer-supporting device and method for producing same| JP5940342B2|2011-07-15|2016-06-29|東京エレクトロン株式会社|基板搬送装置、基板処理システムおよび基板搬送方法、ならびに記憶媒体| US9630127B2|2011-07-19|2017-04-25|Hayward Industries, Inc.|Filter vessel assembly and related methods of use| US20130023129A1|2011-07-20|2013-01-24|Asm America, Inc.|Pressure transmitter for a semiconductor processing environment| US8617411B2|2011-07-20|2013-12-31|Lam Research Corporation|Methods and apparatus for atomic layer etching| JP5789149B2|2011-07-21|2015-10-07|Jswアフティ株式会社|原子層成長方法及び原子層成長装置| US8778448B2|2011-07-21|2014-07-15|International Business Machines Corporation|Method of stabilizing hydrogenated amorphous silicon and amorphous hydrogenated silicon alloys| KR102245759B1|2011-07-22|2021-04-27|어플라이드 머티어리얼스, 인코포레이티드|Ald/cvd 프로세스들을 위한 반응물 전달 시스템| US8716072B2|2011-07-25|2014-05-06|International Business Machines Corporation|Hybrid CMOS technology with nanowire devices and double gated planar devices| US8551892B2|2011-07-27|2013-10-08|Asm Japan K.K.|Method for reducing dielectric constant of film using direct plasma of hydrogen| US20130025786A1|2011-07-28|2013-01-31|Vladislav Davidkovich|Systems for and methods of controlling time-multiplexed deep reactive-ion etching processes| US9184100B2|2011-08-10|2015-11-10|United Microelectronics Corp.|Semiconductor device having strained fin structure and method of making the same| CN102931083B|2011-08-10|2015-07-29|中芯国际集成电路制造有限公司|半导体器件及其制造方法| US20130040481A1|2011-08-12|2013-02-14|Genesis Technology Usa, Inc.|U-Channel Coaxial F-Connector| JP6289908B2|2011-08-19|2018-03-07|東京エレクトロン株式会社|Ge−Sb−Te膜の成膜方法、Sb−Te膜の成膜方法及びプログラム| TWI492298B|2011-08-26|2015-07-11|Applied Materials Inc|雙重圖案化蝕刻製程| US8614047B2|2011-08-26|2013-12-24|International Business Machines Corporation|Photodecomposable bases and photoresist compositions| US20130048606A1|2011-08-31|2013-02-28|Zhigang Mao|Methods for in-situ chamber dry clean in photomask plasma etching processing chamber| US20130217243A1|2011-09-09|2013-08-22|Applied Materials, Inc.|Doping of dielectric layers| US20130217239A1|2011-09-09|2013-08-22|Applied Materials, Inc.|Flowable silicon-and-carbon-containing layers for semiconductor processing| US20130217241A1|2011-09-09|2013-08-22|Applied Materials, Inc.|Treatments for decreasing etch rates after flowable deposition of silicon-carbon-and-nitrogen-containing layers| US20130217240A1|2011-09-09|2013-08-22|Applied Materials, Inc.|Flowable silicon-carbon-nitrogen layers for semiconductor processing| US20130064973A1|2011-09-09|2013-03-14|Taiwan Semiconductor Manufacturing Company, Ltd.|Chamber Conditioning Method| JP2013062361A|2011-09-13|2013-04-04|Tokyo Electron Ltd|熱処理装置、温度制御システム、熱処理方法、温度制御方法及びその熱処理方法又はその温度制御方法を実行させるためのプログラムを記録した記録媒体| US9177872B2|2011-09-16|2015-11-03|Micron Technology, Inc.|Memory cells, semiconductor devices, systems including such cells, and methods of fabrication| JP1438745S|2011-09-20|2015-04-06||| JP1438319S|2011-09-20|2015-04-06||| US20130068970A1|2011-09-21|2013-03-21|Asm Japan K.K.|UV Irradiation Apparatus Having UV Lamp-Shared Multiple Process Stations| JP5549655B2|2011-09-26|2014-07-16|株式会社安川電機|ハンドおよびロボット| US8993072B2|2011-09-27|2015-03-31|Air Products And Chemicals, Inc.|Halogenated organoaminosilane precursors and methods for depositing films comprising same| KR101913891B1|2011-09-27|2018-10-31|도쿄엘렉트론가부시키가이샤|플라즈마 에칭 방법 및 반도체 장치의 제조 방법| US20130082274A1|2011-09-29|2013-04-04|Bridgelux, Inc.|Light emitting devices having dislocation density maintaining buffer layers| US9644796B2|2011-09-29|2017-05-09|Applied Materials, Inc.|Methods for in-situ calibration of a flow controller| USD709537S1|2011-09-30|2014-07-22|Tokyo Electron Limited|Focusing ring| JP6042656B2|2011-09-30|2016-12-14|株式会社日立国際電気|半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム| US8569184B2|2011-09-30|2013-10-29|Asm Japan K.K.|Method for forming single-phase multi-element film by PEALD| USD709536S1|2011-09-30|2014-07-22|Tokyo Electron Limited|Focusing ring| JP6138137B2|2011-10-03|2017-05-31|エーエスエムエル ネザーランズ ビー.ブイ.|自己組織化可能な重合体のためのパターン付配向テンプレートを提供する方法| KR102058760B1|2011-10-10|2019-12-23|브레우어 사이언스 인코포레이션|리소그래피 처리를 위한 스핀-온 탄소 조성물| US9281231B2|2011-10-12|2016-03-08|Ferrotec Corporation|Non-contact magnetic drive assembly with mechanical stop elements| JP6202798B2|2011-10-12|2017-09-27|エーエスエム インターナショナル エヌ.ヴェー.Asm International N.V.|酸化アンチモン膜の原子層堆積| US8759234B2|2011-10-17|2014-06-24|Taiwan Semiconductor Manufacturing Company, Ltd.|Deposited material and method of formation| USD695240S1|2011-10-20|2013-12-10|Tokyo Electron Limited|Arm for wafer transportation for manufacturing semiconductor| US9096931B2|2011-10-27|2015-08-04|Asm America, Inc|Deposition valve assembly and method of heating the same| US9341296B2|2011-10-27|2016-05-17|Asm America, Inc.|Heater jacket for a fluid line| US9574268B1|2011-10-28|2017-02-21|Asm America, Inc.|Pulsed valve manifold for atomic layer deposition| US9017481B1|2011-10-28|2015-04-28|Asm America, Inc.|Process feed management for semiconductor substrate processing| TWI627303B|2011-11-04|2018-06-21|Asm國際股份有限公司|將摻雜氧化矽沉積在反應室內的基底上的方法| US8927059B2|2011-11-08|2015-01-06|Applied Materials, Inc.|Deposition of metal films using alane-based precursors| US20130122712A1|2011-11-14|2013-05-16|Jong Mun Kim|Method of etching high aspect ratio features in a dielectric layer| US20130119018A1|2011-11-15|2013-05-16|Keren Jacobs Kanarik|Hybrid pulsing plasma processing systems| US9005539B2|2011-11-23|2015-04-14|Asm Ip Holding B.V.|Chamber sealing member| US9167625B2|2011-11-23|2015-10-20|Asm Ip Holding B.V.|Radiation shielding for a substrate holder| US10276410B2|2011-11-25|2019-04-30|Nhk Spring Co., Ltd.|Substrate support device| JP5694129B2|2011-11-29|2015-04-01|株式会社東芝|半導体装置及びその製造方法| US8633115B2|2011-11-30|2014-01-21|Applied Materials, Inc.|Methods for atomic layer etching| US9112003B2|2011-12-09|2015-08-18|Asm International N.V.|Selective formation of metallic films on metallic surfaces| CN104115270B|2011-12-14|2017-12-08|英特尔公司|具有包含多个金属氧化物层的绝缘体堆叠体的金属‑绝缘体‑金属电容器| US20130157409A1|2011-12-16|2013-06-20|Kaushik Vaidya|Selective atomic layer deposition of passivation layers for silicon-based photovoltaic devices| DE112011105972T5|2011-12-20|2014-09-25|Intel Corporation|III-V Schichten fir N-Typ- und P-Typ-MOS-Source/Drain-Kontakte| USD691974S1|2011-12-22|2013-10-22|Tokyo Electron Limited|Holding pad for transferring a wafer| KR101821672B1|2011-12-23|2018-01-24|인텔 코포레이션|비평면 게이트 올어라운드 장치 및 그의 제조 방법| US20130161629A1|2011-12-27|2013-06-27|Applied Materials, Inc.|Zero shrinkage smooth interface oxy-nitride and oxy-amorphous-silicon stacks for 3d memory vertical gate application| JP5679581B2|2011-12-27|2015-03-04|東京エレクトロン株式会社|成膜方法| US9388492B2|2011-12-27|2016-07-12|Asm America, Inc.|Vapor flow control apparatus for atomic layer deposition| US8883028B2|2011-12-28|2014-11-11|Lam Research Corporation|Mixed mode pulsing etching in plasma processing systems| KR20130076979A|2011-12-29|2013-07-09|삼성전자주식회사|반도체 소자 및 이의 제조방법| CN102505114A|2012-01-03|2012-06-20|西安电子科技大学|基于Ni膜辅助退火的SiC衬底上石墨烯制备方法| TWI476830B|2012-01-05|2015-03-11||| US8659066B2|2012-01-06|2014-02-25|International Business Machines Corporation|Integrated circuit with a thin body field effect transistor and capacitor| USD676943S1|2012-01-11|2013-02-26|Bill Kluss|Pipe end cap| US20130183814A1|2012-01-13|2013-07-18|Applied Materials, Inc.|Method of depositing a silicon germanium tin layer on a substrate| US10838123B2|2012-01-19|2020-11-17|Supriya Jaiswal|Materials, components, and methods for use with extreme ultraviolet radiation in lithography and other applications| US8592328B2|2012-01-20|2013-11-26|Novellus Systems, Inc.|Method for depositing a chlorine-free conformal sin film| USD665055S1|2012-01-24|2012-08-07|Asm Ip Holding B.V.|Shower plate| JP5601331B2|2012-01-26|2014-10-08|株式会社安川電機|ロボットハンドおよびロボット| WO2013112702A1|2012-01-26|2013-08-01|Applied Materials, Inc.|Devices including metal-silicon contacts using indium arsenide films and apparatus and methods| US9466524B2|2012-01-31|2016-10-11|Applied Materials, Inc.|Method of depositing metals using high frequency plasma| US9177826B2|2012-02-02|2015-11-03|Globalfoundries Inc.|Methods of forming metal nitride materials| US9238865B2|2012-02-06|2016-01-19|Asm Ip Holding B.V.|Multiple vapor sources for vapor deposition| USD698904S1|2012-02-08|2014-02-04|Asm Ip Holding B.V.|Vacuum flange ring| US8728955B2|2012-02-14|2014-05-20|Novellus Systems, Inc.|Method of plasma activated deposition of a conformal film on a substrate surface| JP5912637B2|2012-02-17|2016-04-27|東京エレクトロン株式会社|半導体装置の製造方法| US8686386B2|2012-02-17|2014-04-01|Sandisk 3D Llc|Nonvolatile memory device using a varistor as a current limiter element| US20130224964A1|2012-02-28|2013-08-29|Asm Ip Holding B.V.|Method for Forming Dielectric Film Containing Si-C bonds by Atomic Layer Deposition Using Precursor Containing Si-C-Si bond| US9162209B2|2012-03-01|2015-10-20|Novellus Systems, Inc.|Sequential cascading of reaction volumes as a chemical reuse strategy| US9202727B2|2012-03-02|2015-12-01|ASM IP Holding|Susceptor heater shim| US8785285B2|2012-03-08|2014-07-22|Taiwan Semiconductor Manufacturing Company, Ltd.|Semiconductor devices and methods of manufacture thereof| CN104284997B|2012-03-09|2016-08-17|气体产品与化学公司|在薄膜晶体管器件上制备含硅膜的方法| CN104271797B|2012-03-09|2017-08-25|弗萨姆材料美国有限责任公司|显示器件的阻隔材料| US8912101B2|2012-03-15|2014-12-16|Asm Ip Holding B.V.|Method for forming Si-containing film using two precursors by ALD| US8902428B2|2012-03-15|2014-12-02|Applied Materials, Inc.|Process and apparatus for measuring the crystal fraction of crystalline silicon casted mono wafers| US20150031218A1|2012-03-15|2015-01-29|Tokyo Electron Limited|Film forming process and film forming apparatus| USD715410S1|2012-03-21|2014-10-14|Blucher Metal A/S|Roof drain| US9682398B2|2012-03-30|2017-06-20|Applied Materials, Inc.|Substrate processing system having susceptorless substrate support with enhanced substrate heating control| US9082684B2|2012-04-02|2015-07-14|Applied Materials, Inc.|Method of epitaxial doped germanium tin alloy formation| US8946830B2|2012-04-04|2015-02-03|Asm Ip Holdings B.V.|Metal oxide protective layer for a semiconductor device| GB201206096D0|2012-04-05|2012-05-16|Dyson Technology Ltd|Atomic layer deposition| US9460912B2|2012-04-12|2016-10-04|Air Products And Chemicals, Inc.|High temperature atomic layer deposition of silicon oxide thin films| US20130269612A1|2012-04-16|2013-10-17|Hermes-Epitek Corporation|Gas Treatment Apparatus with Surrounding Spray Curtains| US8535767B1|2012-04-18|2013-09-17|Asm Ip Holding B.V.|Method for repairing damage of dielectric film by hydrocarbon restoration and hydrocarbon depletion using UV irradiation| US9525099B2|2012-04-19|2016-12-20|Intevac, Inc.|Dual-mask arrangement for solar cell fabrication| US10679883B2|2012-04-19|2020-06-09|Intevac, Inc.|Wafer plate and mask arrangement for substrate fabrication| US20130280891A1|2012-04-20|2013-10-24|Yihwan Kim|Method and apparatus for germanium tin alloy formation by thermal cvd| TWI554636B|2012-04-25|2016-10-21|應用材料股份有限公司|由金屬脒鹽前驅物製造介電膜的方法| US10062600B2|2012-04-26|2018-08-28|Intevac, Inc.|System and method for bi-facial processing of substrates| MY170824A|2012-04-26|2019-09-04|Intevac Inc|System architecture for vacuum processing| US8647439B2|2012-04-26|2014-02-11|Applied Materials, Inc.|Method of epitaxial germanium tin alloy surface preparation| US20130288485A1|2012-04-30|2013-10-31|Applied Materials, Inc.|Densification for flowable films| US9029253B2|2012-05-02|2015-05-12|Asm Ip Holding B.V.|Phase-stabilized thin films, structures and devices including the thin films, and methods of forming same| US8728832B2|2012-05-07|2014-05-20|Asm Ip Holdings B.V.|Semiconductor device dielectric interface layer| JP2013235912A|2012-05-08|2013-11-21|Tokyo Electron Ltd|被処理基体をエッチングする方法、及びプラズマエッチング装置| TWI522490B|2012-05-10|2016-02-21|應用材料股份有限公司|利用微波電漿化學氣相沈積在基板上沈積膜的方法| US20130302520A1|2012-05-11|2013-11-14|Kai-An Wang|Co-evaporation system comprising vapor pre-mixer| US8853826B2|2012-05-14|2014-10-07|Taiwan Semiconductor Manufacturing Company, Ltd.|Methods and apparatus for bipolar junction transistors and resistors| US20130312663A1|2012-05-22|2013-11-28|Applied Microstructures, Inc.|Vapor Delivery Apparatus| US8846543B2|2012-05-24|2014-09-30|Jinhong Tong|Methods of atomic layer deposition of hafnium oxide / erbium oxide bi-layer as advanced gate dielectrics| US8785215B2|2012-05-31|2014-07-22|Asm Ip Holding B.V.|Method for repairing damage of dielectric film by cyclic processes| US20130320429A1|2012-05-31|2013-12-05|Asm Ip Holding B.V.|Processes and structures for dopant profile control in epitaxial trench fill| US8900886B2|2012-06-01|2014-12-02|Taiwan Semiconductor Manufacturing Co., Ltd.|System and method of monitoring and controlling atomic layer deposition of tungsten| US9978585B2|2012-06-01|2018-05-22|Versum Materials Us, Llc|Organoaminodisilane precursors and methods for depositing films comprising same| US9337018B2|2012-06-01|2016-05-10|Air Products And Chemicals, Inc.|Methods for depositing films with organoaminodisilane precursors| US20150099065A1|2012-06-07|2015-04-09|Soitec|Gas injection components for deposition systems, deposition systems including such components, and related methods| US20130330911A1|2012-06-08|2013-12-12|Yi-Chiau Huang|Method of semiconductor film stabilization| US8722546B2|2012-06-11|2014-05-13|Asm Ip Holding B.V.|Method for forming silicon-containing dielectric film by cyclic deposition with side wall coverage control| USD723330S1|2012-06-11|2015-03-03|Barry Dean York|Debris mask and basin| US9984866B2|2012-06-12|2018-05-29|Component Re-Engineering Company, Inc.|Multiple zone heater| US10325773B2|2012-06-12|2019-06-18|Novellus Systems, Inc.|Conformal deposition of silicon carbide films| US20130337653A1|2012-06-15|2013-12-19|Asm Ip Holding B.V.|Semiconductor processing apparatus with compact free radical source| RU2600462C2|2012-06-15|2016-10-20|Пикосан Ой|Покрытие полотна подложки осаждением атомных слоев| US8962078B2|2012-06-22|2015-02-24|Tokyo Electron Limited|Method for depositing dielectric films| US8933375B2|2012-06-27|2015-01-13|Asm Ip Holding B.V.|Susceptor heater and method of heating a substrate| US10535735B2|2012-06-29|2020-01-14|Intel Corporation|Contact resistance reduced P-MOS transistors employing Ge-rich contact layer| US10233541B2|2012-06-29|2019-03-19|Applied Materials, Inc.|Deposition of films containing alkaline earth metals| USD705762S1|2012-07-04|2014-05-27|Sercomm Corporation|Communication device having multi-module assembly| US9023737B2|2012-07-11|2015-05-05|Asm Ip Holding B.V.|Method for forming conformal, homogeneous dielectric film by cyclic deposition and heat treatment| US9630284B2|2012-07-12|2017-04-25|Lincoln Global, Inc.|Configurable welding table and force indicating clamp| SG11201407907XA|2012-07-13|2015-01-29|Gallium Entpr Pty Ltd|Apparatus and method for film formation| US8784950B2|2012-07-16|2014-07-22|Asm Ip Holding B.V.|Method for forming aluminum oxide film using Al compound containing alkyl group and alkoxy or alkylamine group| CN104080944B|2012-07-20|2016-08-24|乔治洛德方法研究和开发液化空气有限公司|用于ald/cvd含硅薄膜应用的有机硅烷前体| US20140023794A1|2012-07-23|2014-01-23|Maitreyee Mahajani|Method And Apparatus For Low Temperature ALD Deposition| US9558931B2|2012-07-27|2017-01-31|Asm Ip Holding B.V.|System and method for gas-phase sulfur passivation of a semiconductor surface| US9911676B2|2012-07-27|2018-03-06|Asm Ip Holding B.V.|System and method for gas-phase passivation of a semiconductor surface| US9117866B2|2012-07-31|2015-08-25|Asm Ip Holding B.V.|Apparatus and method for calculating a wafer position in a processing chamber under process conditions| US20140034632A1|2012-08-01|2014-02-06|Heng Pan|Apparatus and method for selective oxidation at lower temperature using remote plasma source| US8911826B2|2012-08-02|2014-12-16|Asm Ip Holding B.V.|Method of parallel shift operation of multiple reactors| US9514932B2|2012-08-08|2016-12-06|Applied Materials, Inc.|Flowable carbon for semiconductor processing| US8664627B1|2012-08-08|2014-03-04|Asm Ip Holding B.V.|Method for supplying gas with flow rate gradient over substrate| US8912070B2|2012-08-16|2014-12-16|The Institute of Microelectronics Chinese Academy of Science|Method for manufacturing semiconductor device| US9707530B2|2012-08-21|2017-07-18|Uop Llc|Methane conversion apparatus and process using a supersonic flow reactor| US9370757B2|2012-08-21|2016-06-21|Uop Llc|Pyrolytic reactor| USD693200S1|2012-08-28|2013-11-12|Lee Valley Tools, Ltd.|Bench stop| US9169975B2|2012-08-28|2015-10-27|Asm Ip Holding B.V.|Systems and methods for mass flow controller verification| US9659799B2|2012-08-28|2017-05-23|Asm Ip Holding B.V.|Systems and methods for dynamic semiconductor process scheduling| US8859368B2|2012-09-04|2014-10-14|Globalfoundries Inc.|Semiconductor device incorporating a multi-function layer into gate stacks| US9171715B2|2012-09-05|2015-10-27|Asm Ip Holding B.V.|Atomic layer deposition of GeO2 | US8742668B2|2012-09-05|2014-06-03|Asm Ip Holdings B.V.|Method for stabilizing plasma ignition| KR102132427B1|2012-09-07|2020-07-09|어플라이드 머티어리얼스, 인코포레이티드|멀티-챔버 진공 시스템 확인 내에서의 다공성 유전체, 폴리머-코팅된 기판들 및 에폭시의 통합 프로세싱| US9021985B2|2012-09-12|2015-05-05|Asm Ip Holdings B.V.|Process gas management for an inductively-coupled plasma deposition reactor| JP5882167B2|2012-09-13|2016-03-09|東京エレクトロン株式会社|熱処理装置| US20140077240A1|2012-09-17|2014-03-20|Radek Roucka|Iv material photonic device on dbr| US11149370B2|2012-09-19|2021-10-19|Apjet, Inc.|Atmospheric-pressure plasma processing apparatus and method| US8921207B2|2012-09-24|2014-12-30|Asm Ip Holding B.V., Inc.|Tin precursors for vapor deposition and deposition processes| US9324811B2|2012-09-26|2016-04-26|Asm Ip Holding B.V.|Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same| JP6042160B2|2012-10-03|2016-12-14|東京エレクトロン株式会社|成膜方法及び成膜装置| US20140099798A1|2012-10-05|2014-04-10|Asm Ip Holding B.V.|UV-Curing Apparatus Provided With Wavelength-Tuned Excimer Lamp and Method of Processing Semiconductor Substrate Using Same| US9353441B2|2012-10-05|2016-05-31|Asm Ip Holding B.V.|Heating/cooling pedestal for semiconductor-processing apparatus| US10714315B2|2012-10-12|2020-07-14|Asm Ip Holdings B.V.|Semiconductor reaction chamber showerhead| JP2014086472A|2012-10-19|2014-05-12|Sinfonia Technology Co Ltd|クランプ装置及びワーク搬送ロボット| US9064948B2|2012-10-22|2015-06-23|Globalfoundries Inc.|Methods of forming a semiconductor device with low-k spacers and the resulting device| US9018639B2|2012-10-26|2015-04-28|Dow Corning Corporation|Flat SiC semiconductor substrate| US9230815B2|2012-10-26|2016-01-05|Appled Materials, Inc.|Methods for depositing fluorine/carbon-free conformal tungsten| WO2014070600A1|2012-10-29|2014-05-08|Matheson Tri-Gas, Inc.|Methods for selective and conformal epitaxy of highly doped si-containing materials for three dimensional structures| US20140116335A1|2012-10-31|2014-05-01|Asm Ip Holding B.V.|UV Irradiation Apparatus with Cleaning Mechanism and Method for Cleaning UV Irradiation Apparatus| JP5960028B2|2012-10-31|2016-08-02|東京エレクトロン株式会社|熱処理装置| US9330899B2|2012-11-01|2016-05-03|Asm Ip Holding B.V.|Method of depositing thin film| US9105587B2|2012-11-08|2015-08-11|Micron Technology, Inc.|Methods of forming semiconductor structures with sulfur dioxide etch chemistries| US9390909B2|2013-11-07|2016-07-12|Novellus Systems, Inc.|Soft landing nanolaminates for advanced patterning| US8784951B2|2012-11-16|2014-07-22|Asm Ip Holding B.V.|Method for forming insulation film using non-halide precursor having four or more silicons| US9190486B2|2012-11-20|2015-11-17|Globalfoundries Inc.|Integrated circuits and methods for fabricating integrated circuits with reduced parasitic capacitance| CN104822866B|2012-11-27|2017-09-01|索泰克公司|具有可互换气体喷射器的沉积系统和相关的方法| KR102046976B1|2012-12-04|2019-12-02|삼성전자주식회사|반도체 메모리 장치 및 그 제조 방법| US9362092B2|2012-12-07|2016-06-07|LGS Innovations LLC|Gas dispersion disc assembly| US9123577B2|2012-12-12|2015-09-01|Sandisk Technologies Inc.|Air gap isolation in non-volatile memory using sacrificial films| JP6390977B2|2012-12-18|2018-09-19|シースター ケミカルズ インク.|薄膜堆積反応器及び薄膜層をインサイチューで乾式浄化するプロセス及び方法| US9064857B2|2012-12-19|2015-06-23|Taiwan Semiconductor Manufacturing Company, Ltd.|N metal for FinFET| US9640416B2|2012-12-26|2017-05-02|Asm Ip Holding B.V.|Single-and dual-chamber module-attachable wafer-handling chamber| US20140182053A1|2012-12-29|2014-07-03|Alexander Yeh Industry Co., Ltd.|Pullable drain plug| EP2750167A1|2012-12-31|2014-07-02|Imec|Method for tuning the effective work function of a gate structure in a semiconductor device| US20140187045A1|2013-01-02|2014-07-03|Applied Materials, Inc.|Silicon nitride gapfill implementing high density plasma| KR20140089793A|2013-01-07|2014-07-16|에스케이하이닉스 주식회사|반도체 장치 및 그 제조 방법| US8993460B2|2013-01-10|2015-03-31|Novellus Systems, Inc.|Apparatuses and methods for depositing SiC/SiCN films via cross-metathesis reactions with organometallic co-reactants| EP2946028B1|2013-01-16|2018-12-26|Universiteit Gent|Methods for obtaining hydrophilic fluoropolymers| CN103972132B|2013-01-24|2017-07-11|东京毅力科创株式会社|基板处理装置和载置台| KR20140095738A|2013-01-25|2014-08-04|삼성전자주식회사|트랜지스터 및 그 제조 방법| US9018093B2|2013-01-25|2015-04-28|Asm Ip Holding B.V.|Method for forming layer constituted by repeated stacked layers| US20160376700A1|2013-02-01|2016-12-29|Asm Ip Holding B.V.|System for treatment of deposition reactor| US8894870B2|2013-02-01|2014-11-25|Asm Ip Holding B.V.|Multi-step method and apparatus for etching compounds containing a metal| US9399228B2|2013-02-06|2016-07-26|Novellus Systems, Inc.|Method and apparatus for purging and plasma suppression in a process chamber| EP2765218A1|2013-02-07|2014-08-13|Nederlandse Organisatie voor toegepast-natuurwetenschappelijk Onderzoek TNO|Method and apparatus for depositing atomic layers on a substrate| US10256079B2|2013-02-08|2019-04-09|Applied Materials, Inc.|Semiconductor processing systems having multiple plasma configurations| US9184045B2|2013-02-08|2015-11-10|Taiwan Semiconductor Manufacturing Co., Ltd.|Bottom-up PEALD process| US9758866B2|2013-02-13|2017-09-12|Wayne State University|Synthesis and characterization of first row transition metal complexes containing α-imino alkoxides as precursors for deposition of metal films| KR20140102782A|2013-02-14|2014-08-25|삼성전자주식회사|웨이퍼 이송용 블레이드 및 이를 포함하는 웨이퍼 이송 장치| US8932923B2|2013-02-19|2015-01-13|Globalfoundries Inc.|Semiconductor gate structure for threshold voltage modulation and method of making same| US20140234466A1|2013-02-21|2014-08-21|HGST Netherlands B.V.|Imprint mold and method for making using sidewall spacer line doubling| FR3002241B1|2013-02-21|2015-11-20|Altatech Semiconductor|Dispositif de depot chimique en phase vapeur| JP5934665B2|2013-02-22|2016-06-15|東京エレクトロン株式会社|成膜方法、プログラム、コンピュータ記憶媒体及び成膜システム| USD743357S1|2013-03-01|2015-11-17|Asm Ip Holding B.V.|Susceptor| US8790743B1|2013-03-04|2014-07-29|Asm Ip Holding B.V.|Method for controlling cyclic plasma-assisted process| USD723153S1|2013-03-08|2015-02-24|Olen Borkholder|Recess ceiling fan bezel| US10170282B2|2013-03-08|2019-01-01|Applied Materials, Inc.|Insulated semiconductor faceplate designs| USD702188S1|2013-03-08|2014-04-08|Asm Ip Holding B.V.|Thermocouple| US9484191B2|2013-03-08|2016-11-01|Asm Ip Holding B.V.|Pulsed remote plasma method and system| US9589770B2|2013-03-08|2017-03-07|Asm Ip Holding B.V.|Method and systems for in-situ formation of intermediate reactive species| US8933528B2|2013-03-11|2015-01-13|International Business Machines Corporation|Semiconductor fin isolation by a well trapping fin portion| US9312222B2|2013-03-12|2016-04-12|Taiwan Semiconductor Manufacturing Co., Ltd.|Patterning approach for improved via landing profile| US9543147B2|2013-03-12|2017-01-10|Taiwan Semiconductor Manufacturing Company, Ltd.|Photoresist and method of manufacture| KR101317942B1|2013-03-13|2013-10-16|테키스트|반도체 제조용 척의 에지링 냉각모듈| US9564309B2|2013-03-14|2017-02-07|Asm Ip Holding B.V.|Si precursors for deposition of SiN at low temperatures| US20140273531A1|2013-03-14|2014-09-18|Asm Ip Holding B.V.|Si PRECURSORS FOR DEPOSITION OF SiN AT LOW TEMPERATURES| US9824881B2|2013-03-14|2017-11-21|Asm Ip Holding B.V.|Si precursors for deposition of SiN at low temperatures| US8846550B1|2013-03-14|2014-09-30|Asm Ip Holding B.V.|Silane or borane treatment of metal thin films| US9309978B2|2013-03-14|2016-04-12|Dresser-Rand Company|Low head to stem ratio poppet valve| US20140273534A1|2013-03-14|2014-09-18|Tokyo Electron Limited|Integration of absorption based heating bake methods into a photolithography track system| CN105027316B|2013-03-14|2018-07-17|应用材料公司|薄膜封装-用于oled应用的薄超高阻挡层| US8841182B1|2013-03-14|2014-09-23|Asm Ip Holding B.V.|Silane and borane treatments for titanium carbide films| KR20200098737A|2013-03-15|2020-08-20|어플라이드 머티어리얼스, 인코포레이티드|프로세싱 챔버에서 튜닝 전극을 사용하여 플라즈마 프로파일을 튜닝하기 위한 장치 및 방법| TWI627305B|2013-03-15|2018-06-21|應用材料股份有限公司|用於轉盤處理室之具有剛性板的大氣蓋| WO2014140672A1|2013-03-15|2014-09-18|L'air Liquide, Societe Anonyme Pour I'etude Et I'exploitation Des Procedes Georges Claude|Bis-bismolybdenum molecules for deposition of molybdenum-containing films| EP2971645A4|2013-03-15|2016-12-28|Prime Group Alliance Llc|OPPOSITE PISTON INTERNAL COMBUSTION ENGINE WITH NON-VISCOUS SEAL LAYER| US9666702B2|2013-03-15|2017-05-30|Matthew H. Kim|Advanced heterojunction devices and methods of manufacturing advanced heterojunction devices| US8984962B2|2013-03-15|2015-03-24|H. Aaron Christmann|Rotatable torque-measuring apparatus and method| US20140273530A1|2013-03-15|2014-09-18|Victor Nguyen|Post-Deposition Treatment Methods For Silicon Nitride| WO2014150260A1|2013-03-15|2014-09-25|Applied Materials, Inc|Process load lock apparatus, lift assemblies, electronic device processing systems, and methods of processing substrates in load lock locations| JP6096547B2|2013-03-21|2017-03-15|東京エレクトロン株式会社|プラズマ処理装置及びシャワープレート| USD734377S1|2013-03-28|2015-07-14|Hirata Corporation|Top cover of a load lock chamber| JP6134191B2|2013-04-07|2017-05-24|村川 惠美|回転型セミバッチald装置| US9142437B2|2013-04-10|2015-09-22|Globalfoundries Inc.|System for separately handling different size FOUPs| US8864202B1|2013-04-12|2014-10-21|Varian Semiconductor Equipment Associates, Inc.|Spring retained end effector contact pad| US8956939B2|2013-04-29|2015-02-17|Asm Ip Holding B.V.|Method of making a resistive random access memory device| JP2014216647A|2013-04-29|2014-11-17|エーエスエムアイピー ホールディング ビー.ブイ.|金属ドープされた抵抗切り替え層を有する抵抗変化型メモリを製造する方法| USD766849S1|2013-05-15|2016-09-20|Ebara Corporation|Substrate retaining ring| US9252024B2|2013-05-17|2016-02-02|Applied Materials, Inc.|Deposition chambers with UV treatment and methods of use| US9142393B2|2013-05-23|2015-09-22|Asm Ip Holding B.V.|Method for cleaning reaction chamber using pre-cleaning process| US9365924B2|2013-05-23|2016-06-14|Asm Ip Holding B.V.|Method for forming film by plasma-assisted deposition using two-frequency combined pulsed RF power| US8900467B1|2013-05-25|2014-12-02|HGST Netherlands B.V.|Method for making a chemical contrast pattern using block copolymers and sequential infiltration synthesis| USD726365S1|2013-05-29|2015-04-07|Sis Resources Ltd.|Mouthpiece plug for electronic cigarette| US9245740B2|2013-06-07|2016-01-26|Dnf Co., Ltd.|Amino-silyl amine compound, method for preparing the same and silicon-containing thin-film using the same| US9117657B2|2013-06-07|2015-08-25|Asm Ip Holding B.V.|Method for filling recesses using pre-treatment with hydrocarbon-containing gas| US9123510B2|2013-06-12|2015-09-01|ASM IP Holding, B.V.|Method for controlling in-plane uniformity of substrate processed by plasma-assisted process| US20140367043A1|2013-06-17|2014-12-18|Applied Materials, Inc.|Method for fast and repeatable plasma ignition and tuning in plasma chambers| USD794185S1|2013-06-17|2017-08-08|Q-Med Ab|Syringe part| US10036089B2|2013-06-26|2018-07-31|Applied Materials, Inc.|Methods of depositing a metal alloy film| US20150004798A1|2013-06-28|2015-01-01|Lam Research Corporation|Chemical deposition chamber having gas seal| US9768016B2|2013-07-02|2017-09-19|Ultratech, Inc.|Formation of heteroepitaxial layers with rapid thermal processing to remove lattice dislocations| US9677176B2|2013-07-03|2017-06-13|Novellus Systems, Inc.|Multi-plenum, dual-temperature showerhead| US20150010381A1|2013-07-08|2015-01-08|United Microelectronics Corp.|Wafer processing chamber and method for transferring wafer in the same| JP5861676B2|2013-07-08|2016-02-16|株式会社安川電機|吸着構造、ロボットハンドおよびロボット| USD705745S1|2013-07-08|2014-05-27|Witricity Corporation|Printed resonator coil| US8940646B1|2013-07-12|2015-01-27|Lam Research Corporation|Sequential precursor dosing in an ALD multi-station/batch reactor| US8993054B2|2013-07-12|2015-03-31|Asm Ip Holding B.V.|Method and system to reduce outgassing in a reaction chamber| US9099423B2|2013-07-12|2015-08-04|Asm Ip Holding B.V.|Doped semiconductor films and processing| US9018111B2|2013-07-22|2015-04-28|Asm Ip Holding B.V.|Semiconductor reaction chamber with plasma capabilities| US9099393B2|2013-08-05|2015-08-04|International Business Machines Corporation|Enabling enhanced reliability and mobility for replacement gate planar and FinFET structures| US8986562B2|2013-08-07|2015-03-24|Ultratech, Inc.|Methods of laser processing photoresist in a gaseous environment| US9396934B2|2013-08-14|2016-07-19|Asm Ip Holding B.V.|Methods of forming films including germanium tin and structures and devices including the films| US9793115B2|2013-08-14|2017-10-17|Asm Ip Holding B.V.|Structures and devices including germanium-tin films and methods of forming same| US8900999B1|2013-08-16|2014-12-02|Applied Materials, Inc.|Low temperature high pressure high H2/WF6 ratio W process for 3D NAND application| WO2015026230A1|2013-08-19|2015-02-26|Asm Ip Holding B.V.|Twin-assembly of diverging semiconductor processing systems| US9209033B2|2013-08-21|2015-12-08|Tel Epion Inc.|GCIB etching method for adjusting fin height of finFET devices| US9190263B2|2013-08-22|2015-11-17|Asm Ip Holding B.V.|Method for forming SiOCH film using organoaminosilane annealing| US9136108B2|2013-09-04|2015-09-15|Asm Ip Holding B.V.|Method for restoring porous surface of dielectric layer by UV light-assisted ALD| US9484199B2|2013-09-06|2016-11-01|Applied Materials, Inc.|PECVD microcrystalline silicon germanium | USD724553S1|2013-09-13|2015-03-17|Asm Ip Holding B.V.|Substrate supporter for semiconductor deposition apparatus| USD716742S1|2013-09-13|2014-11-04|Asm Ip Holding B.V.|Substrate supporter for semiconductor deposition apparatus| US10312127B2|2013-09-16|2019-06-04|Applied Materials, Inc.|Compliant robot blade for defect reduction| US9284642B2|2013-09-19|2016-03-15|Asm Ip Holding B.V.|Method for forming oxide film by plasma-assisted processing| JP6362681B2|2013-09-26|2018-07-25|アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated|空気圧エンドエフェクタ装置、基板搬送システム、及び基板搬送方法| US9018103B2|2013-09-26|2015-04-28|Lam Research Corporation|High aspect ratio etch with combination mask| US9240412B2|2013-09-27|2016-01-19|Asm Ip Holding B.V.|Semiconductor structure and device and methods of forming same using selective epitaxial process| KR101846850B1|2013-09-30|2018-04-09|가부시키가이샤 히다치 고쿠사이 덴키|반도체 장치의 제조 방법, 기판 처리 장치, 기판 처리 시스템 및 기록 매체| US9396986B2|2013-10-04|2016-07-19|Taiwan Semiconductor Manufacturing Company, Ltd.|Mechanism of forming a trench structure| US9556516B2|2013-10-09|2017-01-31|ASM IP Holding B.V|Method for forming Ti-containing film by PEALD using TDMAT or TDEAT| US9034717B2|2013-10-16|2015-05-19|Taiwan Semiconductor Manufacturing Company Limited|Semiconductor-on-insulator structure and method of fabricating the same| US9576790B2|2013-10-16|2017-02-21|Asm Ip Holding B.V.|Deposition of boron and carbon containing materials| US20150111374A1|2013-10-18|2015-04-23|International Business Machines Corporation|Surface treatment in a dep-etch-dep process| JP5847783B2|2013-10-21|2016-01-27|株式会社日立国際電気|半導体装置の製造方法、基板処理装置、プログラム及び記録媒体| EP3063157B8|2013-10-28|2019-07-10|Safc Hitech, Inc.|Metal complexes containing amidoimine ligands| US9343308B2|2013-10-28|2016-05-17|Asm Ip Holding B.V.|Method for trimming carbon-containing film at reduced trimming rate| US9029272B1|2013-10-31|2015-05-12|Asm Ip Holding B.V.|Method for treating SiOCH film with hydrogen plasma| KR20150052996A|2013-11-07|2015-05-15|삼성디스플레이 주식회사|기판 이송 장치 및 이를 포함하는 박막 증착 장치| USD739222S1|2013-11-13|2015-09-22|Jeff Chadbourne|Two-piece magnetic clamp| US9605343B2|2013-11-13|2017-03-28|Asm Ip Holding B.V.|Method for forming conformal carbon films, structures conformal carbon film, and system of forming same| TWI588286B|2013-11-26|2017-06-21|烏翠泰克股份有限公司|經改良的電漿強化原子層沉積方法、周期及裝置| US10179947B2|2013-11-26|2019-01-15|Asm Ip Holding B.V.|Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition| KR20150061179A|2013-11-26|2015-06-04|에스케이하이닉스 주식회사|플라즈마 강화 기상 증착| US9620382B2|2013-12-06|2017-04-11|University Of Maryland, College Park|Reactor for plasma-based atomic layer etching of materials| TW201525173A|2013-12-09|2015-07-01|Applied Materials Inc|選擇性層沉積之方法| US9401273B2|2013-12-11|2016-07-26|Asm Ip Holding B.V.|Atomic layer deposition of silicon carbon nitride based materials| KR102102787B1|2013-12-17|2020-04-22|삼성전자주식회사|기판 처리 장치 및 블록커 플레이트 어셈블리| US10431489B2|2013-12-17|2019-10-01|Applied Materials, Inc.|Substrate support apparatus having reduced substrate particle generation| WO2015095394A1|2013-12-17|2015-06-25|Texas Instruments Incorporated|Elongated contacts using litho-freeze-litho-etch process| WO2015091781A2|2013-12-18|2015-06-25|Imec Vzw|Method of producing transition metal dichalcogenide layer| US9478419B2|2013-12-18|2016-10-25|Asm Ip Holding B.V.|Sulfur-containing thin films| US9362385B2|2013-12-18|2016-06-07|Taiwan Semiconductor Manufacturing Company Ltd.|Method for tuning threshold voltage of semiconductor device with metal gate structure| US9245742B2|2013-12-18|2016-01-26|Asm Ip Holding B.V.|Sulfur-containing thin films| US20150179640A1|2013-12-19|2015-06-25|Globalfoundries Inc.|Common fabrication of different semiconductor devices with different threshold voltages| KR20150073251A|2013-12-20|2015-07-01|에스케이하이닉스 주식회사|반도체 장치 및 그 제조 방법| KR102146705B1|2013-12-23|2020-08-21|삼성전자주식회사|반도체 소자의 배선 구조물 및 그 형성 방법| US9698035B2|2013-12-23|2017-07-04|Lam Research Corporation|Microstructures for improved wafer handling| US9406547B2|2013-12-24|2016-08-02|Intel Corporation|Techniques for trench isolation using flowable dielectric materials| US9159561B2|2013-12-26|2015-10-13|Taiwan Semiconductor Manufacturing Company, Ltd.|Method for overcoming broken line and photoresist scum issues in tri-layer photoresist patterning| JP6247095B2|2013-12-27|2017-12-13|株式会社日立国際電気|半導体装置の製造方法、基板処理装置およびプログラム| WO2015107009A1|2014-01-17|2015-07-23|Koninklijke Philips N.V.|Heating system comprising semiconductor light sources| US9677172B2|2014-01-21|2017-06-13|Applied Materials, Inc.|Methods for forming a cobalt-ruthenium liner layer for interconnect structures| JP2017505383A|2014-01-23|2017-02-16|ウルトラテック インク|蒸気供給システム| JP6324739B2|2014-01-27|2018-05-16|株式会社Kelk|半導体ウェーハの温度制御装置、及び半導体ウェーハの温度制御方法| WO2015115202A1|2014-01-28|2015-08-06|三菱電機株式会社|炭化珪素半導体装置及び炭化珪素半導体装置の製造方法| TWI686499B|2014-02-04|2020-03-01|荷蘭商Asm Ip控股公司|金屬、金屬氧化物與介電質的選擇性沉積| USD725168S1|2014-02-04|2015-03-24|Asm Ip Holding B.V.|Heater block| US9370863B2|2014-02-04|2016-06-21|Asm Ip Holding B.V.|Anti-slip end-effector for transporting workpiece| USD720838S1|2014-02-04|2015-01-06|Asm Ip Holding B.V.|Shower plate| USD726884S1|2014-02-04|2015-04-14|Asm Ip Holding B.V.|Heater block| USD732145S1|2014-02-04|2015-06-16|Asm Ip Holding B.V.|Shower plate| USD732644S1|2014-02-04|2015-06-23|Asm Ip Holding B.V.|Top plate| USD724701S1|2014-02-04|2015-03-17|ASM IP Holding, B.V.|Shower plate| US8993457B1|2014-02-06|2015-03-31|Cypress Semiconductor Corporation|Method of fabricating a charge-trapping gate stack using a CMOS process flow| US9416447B2|2014-02-07|2016-08-16|HGST Netherlands B.V.|Method for line density multiplication using block copolymers and sequential infiltration synthesis| US9281211B2|2014-02-10|2016-03-08|International Business Machines Corporation|Nanoscale interconnect structure| USD733257S1|2014-02-14|2015-06-30|Hansgrohe Se|Overhead shower| US9362180B2|2014-02-25|2016-06-07|Globalfoundries Inc.|Integrated circuit having multiple threshold voltages| JP6303592B2|2014-02-25|2018-04-04|東京エレクトロン株式会社|基板処理装置| US9576952B2|2014-02-25|2017-02-21|Globalfoundries Inc.|Integrated circuits with varying gate structures and fabrication methods| US10683571B2|2014-02-25|2020-06-16|Asm Ip Holding B.V.|Gas supply manifold and method of supplying gases to chamber using same| US9425078B2|2014-02-26|2016-08-23|Lam Research Corporation|Inhibitor plasma mediated atomic layer deposition for seamless feature fill| TWI667722B|2014-02-27|2019-08-01|日商斯克林集團公司|基板處理裝置| KR20150104817A|2014-03-06|2015-09-16|에스케이하이닉스 주식회사|반도체 장치 및 그 제조 방법| JP6204231B2|2014-03-11|2017-09-27|大陽日酸株式会社|空気液化分離装置及び方法| JP2015173230A|2014-03-12|2015-10-01|株式会社東芝|半導体装置及び半導体装置の製造方法| US10109534B2|2014-03-14|2018-10-23|Applied Materials, Inc.|Multi-threshold voltage workfunction metal by selective atomic layer deposition | US10167557B2|2014-03-18|2019-01-01|Asm Ip Holding B.V.|Gas distribution system, reactor including the system, and methods of using the same| JP6379550B2|2014-03-18|2018-08-29|東京エレクトロン株式会社|成膜装置| US9447498B2|2014-03-18|2016-09-20|Asm Ip Holding B.V.|Method for performing uniform processing in gas system-sharing multiple reaction chambers| US20150267295A1|2014-03-19|2015-09-24|Asm Ip Holding B.V.|Removable substrate tray and assembly and reactor including same| KR102308587B1|2014-03-19|2021-10-01|가부시키가이샤 스크린 홀딩스|기판 처리 장치 및 기판 처리 방법| US11015245B2|2014-03-19|2021-05-25|Asm Ip Holding B.V.|Gas-phase reactor and system having exhaust plenum and components thereof| US9299557B2|2014-03-19|2016-03-29|Asm Ip Holding B.V.|Plasma pre-clean module and process| WO2015140983A1|2014-03-20|2015-09-24|株式会社 東芝|非水電解質電池用活物質、非水電解質電池用電極、非水電解質二次電池、電池パック及び非水電解質電池用活物質の製造方法| JP6270575B2|2014-03-24|2018-01-31|株式会社日立国際電気|反応管、基板処理装置及び半導体装置の製造方法| JP6304592B2|2014-03-25|2018-04-04|株式会社Screenホールディングス|基板処理方法および基板処理装置| US9637823B2|2014-03-31|2017-05-02|Asm Ip Holding B.V.|Plasma atomic layer deposition| JP6254036B2|2014-03-31|2017-12-27|三菱重工業株式会社|三次元積層装置及び三次元積層方法| US9343350B2|2014-04-03|2016-05-17|Asm Ip Holding B.V.|Anti-slip end effector for transporting workpiece using van der waals force| US9663857B2|2014-04-07|2017-05-30|Asm Ip Holding B.V.|Method for stabilizing reaction chamber pressure| US9269590B2|2014-04-07|2016-02-23|Applied Materials, Inc.|Spacer formation| KR102094470B1|2014-04-08|2020-03-27|삼성전자주식회사|반도체 소자 및 그 제조 방법| US10047435B2|2014-04-16|2018-08-14|Asm Ip Holding B.V.|Dual selective deposition| US9404587B2|2014-04-24|2016-08-02|ASM IP Holding B.V|Lockout tagout for semiconductor vacuum valve| US9184054B1|2014-04-25|2015-11-10|Taiwan Semiconductor Manufacturing Company, Ltd.|Method for integrated circuit patterning| US9343294B2|2014-04-28|2016-05-17|Taiwan Semiconductor Manufacturing Company, Ltd.|Interconnect structure having air gap and method of forming the same| US9464352B2|2014-05-02|2016-10-11|Asm Ip Holding B.V.|Low-oxidation plasma-assisted process| US10994333B2|2014-05-08|2021-05-04|Stratasys Ltd.|Method and apparatus for 3D printing by selective sintering| TWI518751B|2014-05-14|2016-01-21|國立清華大學|成分元素濃度漸變分佈之載子通道及其製作方法| US9343343B2|2014-05-19|2016-05-17|Asm Ip Holding B.V.|Method for reducing particle generation at bevel portion of substrate| US9257557B2|2014-05-20|2016-02-09|Globalfoundries Inc.|Semiconductor structure with self-aligned wells and multiple channel materials| US9577192B2|2014-05-21|2017-02-21|Sony Semiconductor Solutions Corporation|Method for forming a metal cap in a semiconductor memory device| USD733262S1|2014-05-22|2015-06-30|Young Boung Kang|Disposer of connection member for kitchen sink bowl| JP2016005900A|2014-05-27|2016-01-14|パナソニックIpマネジメント株式会社|ガスバリア膜、ガスバリア膜付きフィルム基板およびこれを備えた電子デバイス。| US9309598B2|2014-05-28|2016-04-12|Applied Materials, Inc.|Oxide and metal removal| JP6042942B2|2014-05-29|2016-12-14|チャム エンジニアリング カンパニー リミテッド|ガス分配装置およびこれを備える基板処理装置| WO2015182699A1|2014-05-30|2015-12-03|株式会社 荏原製作所|真空排気システム| EP2953162A1|2014-06-06|2015-12-09|IMEC vzw|Method for manufacturing a semiconductor device comprising transistors each having a different effective work function| US9773683B2|2014-06-09|2017-09-26|American Air Liquide, Inc.|Atomic layer or cyclic plasma etching chemistries and processes| US10998228B2|2014-06-12|2021-05-04|Taiwan Semiconductor Manufacturing Company, Ltd.|Self-aligned interconnect with protection layer| USD743513S1|2014-06-13|2015-11-17|Asm Ip Holding B.V.|Seal ring| KR102195003B1|2014-06-18|2020-12-24|삼성전자주식회사|반도체 다이오드, 가변 저항 메모리 장치 및 가변 저항 메모리 장치의 제조 방법| USD753629S1|2014-06-19|2016-04-12|Yamaha Corporation|Speaker| US20150367253A1|2014-06-24|2015-12-24|Us Synthetic Corporation|Photoluminescent thin-layer chromatography plate and methods for making same| US20150380296A1|2014-06-25|2015-12-31|Lam Research Corporation|Cleaning of carbon-based contaminants in metal interconnects for interconnect capping applications| US9825191B2|2014-06-27|2017-11-21|Sunpower Corporation|Passivation of light-receiving surfaces of solar cells with high energy gap materials| USD736348S1|2014-07-07|2015-08-11|Jiangmen Triumph Rain Showers Co., LTD|Spray head for a shower| US9349620B2|2014-07-09|2016-05-24|Asm Ip Holdings B.V.|Apparatus and method for pre-baking substrate upstream of process chamber| KR102262887B1|2014-07-21|2021-06-08|삼성전자주식회사|반도체 장치 및 그 제조 방법| US10858737B2|2014-07-28|2020-12-08|Asm Ip Holding B.V.|Showerhead assembly and components thereof| US9617638B2|2014-07-30|2017-04-11|Lam Research Corporation|Methods and apparatuses for showerhead backside parasitic plasma suppression in a secondary purge enabled ALD system| US9543180B2|2014-08-01|2017-01-10|Asm Ip Holding B.V.|Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum| US10176996B2|2014-08-06|2019-01-08|Globalfoundries Inc.|Replacement metal gate and fabrication process with reduced lithography steps| USD751176S1|2014-08-07|2016-03-08|Hansgrohe Se|Overhead shower| US9252238B1|2014-08-18|2016-02-02|Lam Research Corporation|Semiconductor structures with coplanar recessed gate layers and fabrication methods| KR20160021958A|2014-08-18|2016-02-29|삼성전자주식회사|플라즈마 처리 장치 및 기판 처리 방법| US9890456B2|2014-08-21|2018-02-13|Asm Ip Holding B.V.|Method and system for in situ formation of gas-phase compounds| US9349637B2|2014-08-21|2016-05-24|Lam Research Corporation|Method for void-free cobalt gap fill| US10224222B2|2014-09-09|2019-03-05|Asm Ip Holding B.V.|Assembly of liner and flange for vertical furnace as well as a vertical process furnace| USD742202S1|2014-09-11|2015-11-03|Thomas Jason Cyphers|Sign frame key| US9576792B2|2014-09-17|2017-02-21|Asm Ip Holding B.V.|Deposition of SiN| KR102247416B1|2014-09-24|2021-05-03|인텔 코포레이션|표면 종단을 갖는 나노와이어를 사용하여 형성되는 스케일링된 tfet 트랜지스터| US9214333B1|2014-09-24|2015-12-15|Lam Research Corporation|Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD| US9478414B2|2014-09-26|2016-10-25|Asm Ip Holding B.V.|Method for hydrophobization of surface of silicon-containing film by ALD| BR112016026042A8|2014-09-30|2021-07-20|Maruishi Pharma|preparação de rocurônio em forma líquida e método| US9331093B2|2014-10-03|2016-05-03|Sandisk Technologies Inc.|Three dimensional NAND device with silicon germanium heterostructure channel| US9558946B2|2014-10-03|2017-01-31|Taiwan Semiconductor Manufacturing Company, Ltd.|FinFETs and methods of forming FinFETs| US10941490B2|2014-10-07|2021-03-09|Asm Ip Holding B.V.|Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same| US9657845B2|2014-10-07|2017-05-23|Asm Ip Holding B.V.|Variable conductance gas distribution apparatus and method| ES2703911T3|2014-10-13|2019-03-13|Heraeus Deutschland Gmbh & Co Kg|Pintura de color cobre| US9530787B2|2014-10-20|2016-12-27|Sandisk Technologies Llc|Batch contacts for multiple electrically conductive layers| KR101535573B1|2014-11-04|2015-07-13|연세대학교 산학협력단|전이금속 칼코겐 화합물 합성 방법| KR102268187B1|2014-11-10|2021-06-24|삼성전자주식회사|자기 기억 소자 및 그 제조 방법| US9305836B1|2014-11-10|2016-04-05|International Business Machines Corporation|Air gap semiconductor structure with selective cap bilayer| KR102300403B1|2014-11-19|2021-09-09|에이에스엠 아이피 홀딩 비.브이.|박막 증착 방법| US9914995B2|2014-11-21|2018-03-13|Applied Materials, Inc.|Alcohol assisted ALD film deposition| JP2016098406A|2014-11-21|2016-05-30|東京エレクトロン株式会社|モリブデン膜の成膜方法| US9564312B2|2014-11-24|2017-02-07|Lam Research Corporation|Selective inhibition in atomic layer deposition of silicon-containing films| US9589790B2|2014-11-24|2017-03-07|Lam Research Corporation|Method of depositing ammonia free and chlorine free conformal silicon nitride film| JP6086892B2|2014-11-25|2017-03-01|株式会社日立国際電気|半導体装置の製造方法、基板処理装置およびプログラム| US20160148821A1|2014-11-26|2016-05-26|Applied Materials, Inc.|Methods and systems to enhance process uniformity| US9837281B2|2014-11-26|2017-12-05|Asm Ip Holding B.V.|Cyclic doped aluminum nitride deposition| US9406683B2|2014-12-04|2016-08-02|International Business Machines Corporation|Wet bottling process for small diameter deep trench capacitors| US9997373B2|2014-12-04|2018-06-12|Lam Research Corporation|Technique to deposit sidewall passivation for high aspect ratio cylinder etch| US9384998B2|2014-12-04|2016-07-05|Lam Research Corporation|Technique to deposit sidewall passivation for high aspect ratio cylinder etch| US9378971B1|2014-12-04|2016-06-28|Lam Research Corporation|Technique to deposit sidewall passivation for high aspect ratio cylinder etch| US9620377B2|2014-12-04|2017-04-11|Lab Research Corporation|Technique to deposit metal-containing sidewall passivation for high aspect ratio cylinder etch| US9951421B2|2014-12-10|2018-04-24|Lam Research Corporation|Inlet for effective mixing and purging| US20160168699A1|2014-12-12|2016-06-16|Asm Ip Holding B.V.|Method for depositing metal-containing film using particle-reduction step| US10062564B2|2014-12-15|2018-08-28|Tokyo Electron Limited|Method of selective gas phase film deposition on a substrate by modifying the surface using hydrogen plasma| US9820289B1|2014-12-18|2017-11-14|Sprint Spectrum L.P.|Method and system for managing quantity of carriers in air interface connection based on type of content| KR102263121B1|2014-12-22|2021-06-09|에이에스엠 아이피 홀딩 비.브이.|반도체 소자 및 그 제조 방법| US9515072B2|2014-12-26|2016-12-06|Taiwan Semiconductor Manufacturing Company Ltd.|FinFET structure and method for manufacturing thereof| US9474163B2|2014-12-30|2016-10-18|Asm Ip Holding B.V.|Germanium oxide pre-clean module and process| US9324846B1|2015-01-08|2016-04-26|Globalfoundries Inc.|Field plate in heterojunction bipolar transistor with improved break-down voltage| USD753269S1|2015-01-09|2016-04-05|Asm Ip Holding B.V.|Top plate| US9396956B1|2015-01-16|2016-07-19|Asm Ip Holding B.V.|Method of plasma-enhanced atomic layer etching| US9496040B2|2015-01-22|2016-11-15|Sandisk Technologies Llc|Adaptive multi-page programming methods and apparatus for non-volatile memory| JP6470057B2|2015-01-29|2019-02-13|株式会社Kokusai Electric|半導体装置の製造方法、基板処理装置およびプログラム| KR102185458B1|2015-02-03|2020-12-03|에이에스엠 아이피 홀딩 비.브이.|선택적 퇴적| US9478415B2|2015-02-13|2016-10-25|Asm Ip Holding B.V.|Method for forming film having low resistance and shallow junction depth| US10228291B2|2015-02-25|2019-03-12|Kokusai Electric Corporation|Substrate processing apparatus, and thermocouple| US10548504B2|2015-03-06|2020-02-04|Ethicon Llc|Overlaid multi sensor radio frequency electrode system to measure tissue compression| US9808246B2|2015-03-06|2017-11-07|Ethicon Endo-Surgery, Llc|Method of operating a powered surgical instrument| US10529542B2|2015-03-11|2020-01-07|Asm Ip Holdings B.V.|Cross-flow reactor and method| US10276355B2|2015-03-12|2019-04-30|Asm Ip Holding B.V.|Multi-zone reactor, system including the reactor, and method of using the same| IL237775A|2015-03-16|2019-03-31|Redler Tech Ltd|Automatic, high-reliability electronic fuse that includes short-circuit overcurrent prevention and liberty| US10566187B2|2015-03-20|2020-02-18|Lam Research Corporation|Ultrathin atomic layer deposition film accuracy thickness control| JP2016178223A|2015-03-20|2016-10-06|ルネサスエレクトロニクス株式会社|半導体装置の製造方法| JP6484478B2|2015-03-25|2019-03-13|株式会社Kokusai Electric|半導体装置の製造方法、基板処理装置およびプログラム| JP6458595B2|2015-03-27|2019-01-30|東京エレクトロン株式会社|成膜装置及び成膜方法並びに記憶媒体| US9777025B2|2015-03-30|2017-10-03|L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude|Si-containing film forming precursors and methods of using the same| US9502238B2|2015-04-03|2016-11-22|Lam Research Corporation|Deposition of conformal films by atomic layer deposition and atomic layer etch| KR20160124028A|2015-04-16|2016-10-26|레르 리키드 쏘시에떼 아노님 뿌르 레뜌드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드|V 족-함유 필름 형성 조성물 및 v 족-함유 필름의 증착| US20160314964A1|2015-04-21|2016-10-27|Lam Research Corporation|Gap fill using carbon-based films| US20160312360A1|2015-04-22|2016-10-27|Applied Materials, Inc.|Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate| US9343297B1|2015-04-22|2016-05-17|Asm Ip Holding B.V.|Method for forming multi-element thin film constituted by at least five elements by PEALD| JP2016213475A|2015-05-13|2016-12-15|東京エレクトロン株式会社|シュリンク及び成長方法を使用する極端紫外線感度低下| JP1544542S|2015-05-14|2019-02-18||| JP1547057S|2015-05-28|2016-04-04||| US9711350B2|2015-06-03|2017-07-18|Asm Ip Holding B.V.|Methods for semiconductor passivation by nitridation| JP1545222S|2015-06-10|2016-03-07||| US20160362813A1|2015-06-12|2016-12-15|Applied Materials, Inc.|Injector for semiconductor epitaxy growth| US9646883B2|2015-06-12|2017-05-09|International Business Machines Corporation|Chemoepitaxy etch trim using a self aligned hard mask for metal line to via| US10053774B2|2015-06-12|2018-08-21|Asm Ip Holding B.V.|Reactor system for sublimation of pre-clean byproducts and method thereof| US9647071B2|2015-06-15|2017-05-09|Taiwan Semiconductor Manufacturing Company, Ltd.|FINFET structures and methods of forming the same| US9711396B2|2015-06-16|2017-07-18|Asm Ip Holding B.V.|Method for forming metal chalcogenide thin films on a semiconductor device| US10438795B2|2015-06-22|2019-10-08|Veeco Instruments, Inc.|Self-centering wafer carrier system for chemical vapor deposition| US10458018B2|2015-06-26|2019-10-29|Asm Ip Holding B.V.|Structures including metal carbide material, devices including the structures, and methods of forming same| US20160379851A1|2015-06-29|2016-12-29|Bharath Swaminathan|Temperature controlled substrate processing| US10600673B2|2015-07-07|2020-03-24|Asm Ip Holding B.V.|Magnetic susceptor to baseplate seal| US10174437B2|2015-07-09|2019-01-08|Applied Materials, Inc.|Wafer electroplating chuck assembly| US9899291B2|2015-07-13|2018-02-20|Asm Ip Holding B.V.|Method for protecting layer by forming hydrocarbon-based extremely thin film| US10043661B2|2015-07-13|2018-08-07|Asm Ip Holding B.V.|Method for protecting layer by forming hydrocarbon-based extremely thin film| JP6578243B2|2015-07-17|2019-09-18|株式会社Kokusai Electric|ガス供給ノズル、基板処理装置、半導体装置の製造方法およびプログラム| US20170025291A1|2015-07-22|2017-01-26|Taiwan Semiconductor Manufacturing Co., Ltd.|Multi-chamber furnace for batch processing| US10083836B2|2015-07-24|2018-09-25|Asm Ip Holding B.V.|Formation of boron-doped titanium metal films with high work function| JP6560924B2|2015-07-29|2019-08-14|株式会社Kokusai Electric|基板処理装置、半導体装置の製造方法及びプログラム| JP6502779B2|2015-07-29|2019-04-17|東京エレクトロン株式会社|ガス供給系のバルブのリークを検査する方法| WO2017018834A1|2015-07-29|2017-02-02|한국표준과학연구원|2차원 전이금속 디칼코지나이드 박막의 제조 방법| KR20170014875A|2015-07-31|2017-02-08|삼성전자주식회사|반도체 소자의 제조 방법| US10428421B2|2015-08-03|2019-10-01|Asm Ip Holding B.V.|Selective deposition on metal or metallic surfaces relative to dielectric surfaces| US10087525B2|2015-08-04|2018-10-02|Asm Ip Holding B.V.|Variable gap hard stop design| US10566185B2|2015-08-05|2020-02-18|Asm Ip Holding B.V.|Selective deposition of aluminum and nitrogen containing material| US9647114B2|2015-08-14|2017-05-09|Asm Ip Holding B.V.|Methods of forming highly p-type doped germanium tin films and structures and devices including the films| US20170051402A1|2015-08-17|2017-02-23|Asm Ip Holding B.V.|Susceptor and substrate processing apparatus| US20170051405A1|2015-08-18|2017-02-23|Asm Ip Holding B.V.|Method for forming sin or sicn film in trenches by peald| JP1549882S|2015-08-18|2016-05-23||| US9978610B2|2015-08-21|2018-05-22|Lam Research Corporation|Pulsing RF power in etch process to enhance tungsten gapfill performance| US10410857B2|2015-08-24|2019-09-10|Asm Ip Holding B.V.|Formation of SiN thin films| US9523148B1|2015-08-25|2016-12-20|Asm Ip Holdings B.V.|Process for deposition of titanium oxynitride for use in integrated circuit fabrication| US9711345B2|2015-08-25|2017-07-18|Asm Ip Holding B.V.|Method for forming aluminum nitride-based film by PEALD| US10121671B2|2015-08-28|2018-11-06|Applied Materials, Inc.|Methods of depositing metal films using metal oxyhalide precursors| US9455177B1|2015-08-31|2016-09-27|Dow Global Technologies Llc|Contact hole formation methods| US20170091320A1|2015-09-01|2017-03-30|Panjiva, Inc.|Natural language processing for entity resolution| JP1546345S|2015-09-04|2016-03-22||| JP6448502B2|2015-09-09|2019-01-09|株式会社Kokusai Electric|半導体装置の製造方法、基板処理装置及びプログラム| US9601693B1|2015-09-24|2017-03-21|Lam Research Corporation|Method for encapsulating a chalcogenide material| WO2017053771A1|2015-09-25|2017-03-30|Applied Materials, Inc.|Grooved backing plate for standing wave compensation| JP2017069313A|2015-09-29|2017-04-06|株式会社日立国際電気|半導体装置の製造方法、基板処理装置、ガス供給システムおよびプログラム| US9960072B2|2015-09-29|2018-05-01|Asm Ip Holding B.V.|Variable adjustment for precise matching of multiple chamber cavity housings| KR20170038519A|2015-09-30|2017-04-07|삼성전자주식회사|자기 저항 메모리 소자 및 그 제조 방법| US9853101B2|2015-10-07|2017-12-26|Taiwan Semiconductor Manufacturing Company, Ltd.|Strained nanowire CMOS device and method of forming| US11171023B2|2015-10-09|2021-11-09|Applied Materials, Inc.|Diode laser for wafer heating for EPI processes| US10695794B2|2015-10-09|2020-06-30|Asm Ip Holding B.V.|Vapor phase deposition of organic films| US9909214B2|2015-10-15|2018-03-06|Asm Ip Holding B.V.|Method for depositing dielectric film in trenches by PEALD| TWI740848B|2015-10-16|2021-10-01|荷蘭商Asm智慧財產控股公司|實施原子層沉積以得閘極介電質| US9941425B2|2015-10-16|2018-04-10|Asm Ip Holdings B.V.|Photoactive devices and materials| US10211308B2|2015-10-21|2019-02-19|Asm Ip Holding B.V.|NbMC layers| JP6464990B2|2015-10-21|2019-02-06|東京エレクトロン株式会社|縦型熱処理装置| KR20170046892A|2015-10-22|2017-05-04|삼성전자주식회사|수직형 메모리 장치 및 이의 제조 방법| US10322384B2|2015-11-09|2019-06-18|Asm Ip Holding B.V.|Counter flow mixer for process chamber| US9455138B1|2015-11-10|2016-09-27|Asm Ip Holding B.V.|Method for forming dielectric film in trenches by PEALD using H-containing gas| US9786491B2|2015-11-12|2017-10-10|Asm Ip Holding B.V.|Formation of SiOCN thin films| US9786492B2|2015-11-12|2017-10-10|Asm Ip Holding B.V.|Formation of SiOCN thin films| USD787458S1|2015-11-18|2017-05-23|Asm Ip Holding B.V.|Gas supply plate for semiconductor manufacturing apparatus| WO2017091345A1|2015-11-25|2017-06-01|Applied Materials, Inc.|New materials for tensile stress and low contact resistance and method of forming| US9905420B2|2015-12-01|2018-02-27|Asm Ip Holding B.V.|Methods of forming silicon germanium tin films and structures and devices including the films| US20170178899A1|2015-12-18|2017-06-22|Lam Research Corporation|Directional deposition on patterned structures| US9607837B1|2015-12-21|2017-03-28|Asm Ip Holding B.V.|Method for forming silicon oxide cap layer for solid state diffusion process| CH711990A2|2015-12-22|2017-06-30|Interglass Tech Ag|Vakuumbeschichtungsanlage zum Beschichten von Linsen.| AT518081B1|2015-12-22|2017-07-15|Sico Tech Gmbh|Injektor aus Silizium für die Halbleiterindustrie| US9627221B1|2015-12-28|2017-04-18|Asm Ip Holding B.V.|Continuous process incorporating atomic layer etching| US9633838B2|2015-12-28|2017-04-25|L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude|Vapor deposition of silicon-containing films using penta-substituted disilanes| US9735024B2|2015-12-28|2017-08-15|Asm Ip Holding B.V.|Method of atomic layer etching using functional group-containing fluorocarbon| US11139308B2|2015-12-29|2021-10-05|Asm Ip Holding B.V.|Atomic layer deposition of III-V compounds to form V-NAND devices| USD789888S1|2016-01-08|2017-06-20|Asm Ip Holding B.V.|Electrode plate for semiconductor manufacturing apparatus| USD796458S1|2016-01-08|2017-09-05|Asm Ip Holding B.V.|Gas flow control plate for semiconductor manufacturing apparatus| USD802546S1|2016-01-08|2017-11-14|Asm Ip Holding B.V.|Outer wall of reactor for semiconductor manufacturing apparatus| US9412648B1|2016-01-11|2016-08-09|Taiwan Semiconductor Manufacturing Company, Ltd.|Via patterning using multiple photo multiple etch| US10865477B2|2016-02-08|2020-12-15|Illinois Tool Works Inc.|Method and system for the localized deposit of metal on a surface| US9570302B1|2016-02-10|2017-02-14|Taiwan Semiconductor Manufacturing Co., Ltd.|Method of patterning a material layer| JP6538582B2|2016-02-15|2019-07-03|株式会社Kokusai Electric|基板処理装置、半導体装置の製造方法およびプログラム| US10468251B2|2016-02-19|2019-11-05|Asm Ip Holding B.V.|Method for forming spacers using silicon nitride film for spacer-defined multiple patterning| US9754779B1|2016-02-19|2017-09-05|Asm Ip Holding B.V.|Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches| KR20180114159A|2016-02-19|2018-10-17|메르크 파텐트 게엠베하|몰리브덴 카르보닐 전구체를 사용하는 몰리브덴 박막의 증착| US10529554B2|2016-02-19|2020-01-07|Asm Ip Holding B.V.|Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches| US9666528B1|2016-02-23|2017-05-30|International Business Machines Corporation|BEOL vertical fuse formed over air gap| USD855089S1|2016-02-29|2019-07-30|Moldman Systems Llc|Mixer assembly| US9748145B1|2016-02-29|2017-08-29|Globalfoundries Inc.|Semiconductor devices with varying threshold voltage and fabrication methods thereof| US10073342B2|2016-03-04|2018-09-11|Micron Technology, Inc.|Method of forming patterns| US10018920B2|2016-03-04|2018-07-10|Taiwan Semiconductor Manufacturing Co., Ltd.|Lithography patterning with a gas phase resist| US10501866B2|2016-03-09|2019-12-10|Asm Ip Holding B.V.|Gas distribution apparatus for improved film uniformity in an epitaxial system| KR102293218B1|2016-03-13|2021-08-23|어플라이드 머티어리얼스, 인코포레이티드|스페이서 애플리케이션들을 위한 실리콘 질화물 막들의 선택적 증착| US10134672B2|2016-03-15|2018-11-20|Toshiba Memory Corporation|Semiconductor memory device having a stepped structure and contact wirings formed thereon| KR20170107323A|2016-03-15|2017-09-25|연세대학교 산학협력단|전이금속 칼코겐 화합물 합금 및 그의 제조방법| US10343920B2|2016-03-18|2019-07-09|Asm Ip Holding B.V.|Aligned carbon nanotubes| JP6576277B2|2016-03-23|2019-09-18|東京エレクトロン株式会社|窒化膜の形成方法| US9892913B2|2016-03-24|2018-02-13|Asm Ip Holding B.V.|Radial and thickness control via biased multi-port injection settings| CN111627807A|2016-03-28|2020-09-04|株式会社日立高新技术|等离子处理方法以及等离子处理装置| JP6566904B2|2016-03-29|2019-08-28|東京エレクトロン株式会社|基板処理装置| JP6608753B2|2016-03-31|2019-11-20|株式会社ノリタケカンパニーリミテド|PdRu合金電極材料およびその製造方法| USD810705S1|2016-04-01|2018-02-20|Veeco Instruments Inc.|Self-centering wafer carrier for chemical vapor deposition| USD819580S1|2016-04-01|2018-06-05|Veeco Instruments, Inc.|Self-centering wafer carrier for chemical vapor deposition| JP6095825B2|2016-04-08|2017-03-15|株式会社日立国際電気|基板処理装置および半導体装置の製造方法| US10087522B2|2016-04-21|2018-10-02|Asm Ip Holding B.V.|Deposition of metal borides| US10865475B2|2016-04-21|2020-12-15|Asm Ip Holding B.V.|Deposition of metal borides and silicides| US10190213B2|2016-04-21|2019-01-29|Asm Ip Holding B.V.|Deposition of metal borides| US10032628B2|2016-05-02|2018-07-24|Asm Ip Holding B.V.|Source/drain performance through conformal solid state doping| US10367080B2|2016-05-02|2019-07-30|Asm Ip Holding B.V.|Method of forming a germanium oxynitride film| KR20170129475A|2016-05-17|2017-11-27|에이에스엠 아이피 홀딩 비.브이.|금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법| US10522371B2|2016-05-19|2019-12-31|Applied Materials, Inc.|Systems and methods for improved semiconductor etching and component protection| US10504754B2|2016-05-19|2019-12-10|Applied Materials, Inc.|Systems and methods for improved semiconductor etching and component protection| USD849662S1|2016-05-21|2019-05-28|Worthington Industries, Inc.|Cylinder support system| US9987747B2|2016-05-24|2018-06-05|Semes Co., Ltd.|Stocker for receiving cassettes and method of teaching a stocker robot disposed therein| US20170342559A1|2016-05-25|2017-11-30|Asm Ip Holding B.V.|Method for forming carbon-containing silicon/metal oxide or nitride film by ald using silicon precursor and hydrocarbon precursor| KR102326377B1|2016-06-07|2021-11-15|가부시키가이샤 코쿠사이 엘렉트릭|기판 처리 장치, 반도체 장치의 제조 방법 및 프로그램| US10002958B2|2016-06-08|2018-06-19|The United States Of America, As Represented By The Secretary Of The Navy|Diamond on III-nitride device| US10014212B2|2016-06-08|2018-07-03|Asm Ip Holding B.V.|Selective deposition of metallic films| JP6585551B2|2016-06-15|2019-10-02|株式会社Kokusai Electric|半導体装置の製造方法、基板処理装置、およびプログラム| USD785766S1|2016-06-15|2017-05-02|Asm Ip Holding B.V.|Shower plate| US10388509B2|2016-06-28|2019-08-20|Asm Ip Holding B.V.|Formation of epitaxial layers via dislocation filtering| US9824893B1|2016-06-28|2017-11-21|Lam Research Corporation|Tin oxide thin film spacers in semiconductor device manufacturing| US10217863B2|2016-06-28|2019-02-26|International Business Machines Corporation|Fabrication of a vertical fin field effect transistor with an asymmetric gate structure| KR20190045410A|2016-06-30|2019-05-02|가부시키가이샤 코쿠사이 엘렉트릭|기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체| US20160314962A1|2016-06-30|2016-10-27|American Air Liquide, Inc.|Cyclic organoaminosilane precursors for forming silicon-containing films and methods of using the same| US10062563B2|2016-07-01|2018-08-28|Lam Research Corporation|Selective atomic layer deposition with post-dose treatment| KR102165711B1|2016-07-05|2020-10-14|가부시키가이샤 코쿠사이 엘렉트릭|기판 처리 장치, 가스 노즐 및 반도체 장치의 제조 방법| US9812319B1|2016-07-06|2017-11-07|Asm Ip Holding B.V.|Method for forming film filled in trench without seam or void| US10612137B2|2016-07-08|2020-04-07|Asm Ip Holdings B.V.|Organic reactants for atomic layer deposition| US9859151B1|2016-07-08|2018-01-02|Asm Ip Holding B.V.|Selective film deposition method to form air gaps| USD793352S1|2016-07-11|2017-08-01|Asm Ip Holding B.V.|Getter plate| KR102266610B1|2016-07-14|2021-06-21|엔테그리스, 아이엔씨.|MoOCl4의 사용에 의한 CVD Mo 증착| US9793135B1|2016-07-14|2017-10-17|ASM IP Holding B.V|Method of cyclic dry etching using etchant film| US10714385B2|2016-07-19|2020-07-14|Asm Ip Holding B.V.|Selective deposition of tungsten| US9799736B1|2016-07-20|2017-10-24|International Business Machines Corporation|High acceptor level doping in silicon germanium| JP6616258B2|2016-07-26|2019-12-04|株式会社KokusaiElectric|基板処理装置、蓋部カバーおよび半導体装置の製造方法| KR102354490B1|2016-07-27|2022-01-21|에이에스엠 아이피 홀딩 비.브이.|기판 처리 방법| US10177025B2|2016-07-28|2019-01-08|Asm Ip Holding B.V.|Method and apparatus for filling a gap| US9887082B1|2016-07-28|2018-02-06|Asm Ip Holding B.V.|Method and apparatus for filling a gap| KR20180013034A|2016-07-28|2018-02-07|에이에스엠 아이피 홀딩 비.브이.|기판 가공 장치 및 그 동작 방법| US9812320B1|2016-07-28|2017-11-07|Asm Ip Holding B.V.|Method and apparatus for filling a gap| US10395919B2|2016-07-28|2019-08-27|Asm Ip Holding B.V.|Method and apparatus for filling a gap| US10573522B2|2016-08-16|2020-02-25|Lam Research Corporation|Method for preventing line bending during metal fill process| KR20180019824A|2016-08-17|2018-02-27|삼성전자주식회사|반도체 장치 및 그 제조 방법| KR20180023298A|2016-08-25|2018-03-07|에이에스엠 아이피 홀딩 비.브이.|배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법| US10037884B2|2016-08-31|2018-07-31|Lam Research Corporation|Selective atomic layer deposition for gapfill using sacrificial underlayer| US10090316B2|2016-09-01|2018-10-02|Asm Ip Holding B.V.|3D stacked multilayer semiconductor memory using doped select transistor channel| US10269714B2|2016-09-06|2019-04-23|International Business Machines Corporation|Low resistance contacts including intermetallic alloy of nickel, platinum, titanium, aluminum and type IV semiconductor elements| US9865455B1|2016-09-07|2018-01-09|Lam Research Corporation|Nitride film formed by plasma-enhanced and thermal atomic layer deposition process| JP6710130B2|2016-09-13|2020-06-17|東京エレクトロン株式会社|基板処理装置| JP6710134B2|2016-09-27|2020-06-17|東京エレクトロン株式会社|ガス導入機構及び処理装置| KR20180035014A|2016-09-28|2018-04-05|삼성전자주식회사|반도체 장치| JP6550029B2|2016-09-28|2019-07-24|株式会社Kokusai Electric|基板処理装置、ノズル基部および半導体装置の製造方法| JP6270952B1|2016-09-28|2018-01-31|株式会社日立国際電気|基板処理装置、半導体装置の製造方法および記録媒体。| US20180094351A1|2016-09-30|2018-04-05|Asm Ip Holding B.V.|Reactant vaporizer and related systems and methods| US9997606B2|2016-09-30|2018-06-12|International Business Machines Corporation|Fully depleted SOI device for reducing parasitic back gate capacitance| US10410943B2|2016-10-13|2019-09-10|Asm Ip Holding B.V.|Method for passivating a surface of a semiconductor and related systems| US10643826B2|2016-10-26|2020-05-05|Asm Ip Holdings B.V.|Methods for thermally calibrating reaction chambers| US20180122959A1|2016-10-27|2018-05-03|Asm Ip Holding B.V.|Deposition of charge trapping layers| US20170044664A1|2016-10-28|2017-02-16|L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude|Hafnium-containing film forming compositions for vapor deposition of hafnium-containing films| US10714350B2|2016-11-01|2020-07-14|ASM IP Holdings, B.V.|Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures| US10229833B2|2016-11-01|2019-03-12|Asm Ip Holding B.V.|Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures| US10643904B2|2016-11-01|2020-05-05|Asm Ip Holdings B.V.|Methods for forming a semiconductor device and related semiconductor device structures| US10435790B2|2016-11-01|2019-10-08|Asm Ip Holding B.V.|Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap| US10134757B2|2016-11-07|2018-11-20|Asm Ip Holding B.V.|Method of processing a substrate and a device manufactured by using the method| JP6737139B2|2016-11-14|2020-08-05|東京エレクトロン株式会社|ガスインジェクタ、及び縦型熱処理装置| KR20180054366A|2016-11-15|2018-05-24|에이에스엠 아이피 홀딩 비.브이.|기체 공급 유닛 및 이를 포함하는 기판 처리 장치| KR102147174B1|2016-11-18|2020-08-28|가부시키가이샤 코쿠사이 엘렉트릭|기판 처리 장치, 반응관 구조 및 반도체 장치의 제조 방법| JP6804270B2|2016-11-21|2020-12-23|東京エレクトロン株式会社|基板処理装置、および基板処理方法| US10340135B2|2016-11-28|2019-07-02|Asm Ip Holding B.V.|Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride| US9991277B1|2016-11-28|2018-06-05|Sandisk Technologies Llc|Three-dimensional memory device with discrete self-aligned charge storage elements and method of making thereof| US10186420B2|2016-11-29|2019-01-22|Asm Ip Holding B.V.|Formation of silicon-containing thin films| US10573549B2|2016-12-01|2020-02-25|Lam Research Corporation|Pad raising mechanism in wafer positioning pedestal for semiconductor processing| US10604841B2|2016-12-14|2020-03-31|Lam Research Corporation|Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition| KR20180068582A|2016-12-14|2018-06-22|에이에스엠 아이피 홀딩 비.브이.|기판 처리 장치| US9916980B1|2016-12-15|2018-03-13|Asm Ip Holding B.V.|Method of forming a structure on a substrate| WO2018109552A1|2016-12-15|2018-06-21|Asm Ip Holding B.V.|Semiconductor processing apparatus| US20180171475A1|2016-12-15|2018-06-21|Asm Ip Holding B.V.|Sequential infiltration synthesis apparatus and a method of forming a patterned structure| US20180174826A1|2016-12-15|2018-06-21|Asm Ip Holding B.V.|Sequential infiltration synthesis apparatus| KR20180070971A|2016-12-19|2018-06-27|에이에스엠 아이피 홀딩 비.브이.|기판 처리 장치| US20180174801A1|2016-12-21|2018-06-21|Ulvac Technologies, Inc.|Apparatuses and methods for surface treatment| US10269558B2|2016-12-22|2019-04-23|Asm Ip Holding B.V.|Method of forming a structure on a substrate| US10867788B2|2016-12-28|2020-12-15|Asm Ip Holding B.V.|Method of forming a structure on a substrate| US10049426B2|2017-01-03|2018-08-14|Qualcomm Incorporated|Draw call visibility stream| US20180195174A1|2017-01-10|2018-07-12|Asm Ip Holding B.V.|Reactor system and method to reduce residue buildup during a film deposition process| JP1584906S|2017-01-31|2017-08-28||| JP1584241S|2017-01-31|2017-08-21||| US10655221B2|2017-02-09|2020-05-19|Asm Ip Holding B.V.|Method for depositing oxide film by thermal ALD and PEALD| US10468261B2|2017-02-15|2019-11-05|Asm Ip Holding B.V.|Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures| JP2018148143A|2017-03-08|2018-09-20|株式会社東芝|シャワープレート、処理装置、及び吐出方法| US11081337B2|2017-03-15|2021-08-03|Versum Materials U.S., LLC|Formulation for deposition of silicon doped hafnium oxide as ferroelectric materials| US10629415B2|2017-03-28|2020-04-21|Asm Ip Holding B.V.|Substrate processing apparatus and method for processing substrate| US10283353B2|2017-03-29|2019-05-07|Asm Ip Holding B.V.|Method of reforming insulating film deposited on substrate with recess pattern| US10529563B2|2017-03-29|2020-01-07|Asm Ip Holdings B.V.|Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures| US10460932B2|2017-03-31|2019-10-29|Asm Ip Holding B.V.|Semiconductor device with amorphous silicon filled gaps and methods for forming| US10103040B1|2017-03-31|2018-10-16|Asm Ip Holding B.V.|Apparatus and method for manufacturing a semiconductor device| USD830981S1|2017-04-07|2018-10-16|Asm Ip Holding B.V.|Susceptor for semiconductor substrate processing apparatus| KR20190130046A|2017-04-10|2019-11-20|램 리써치 코포레이션|몰리브덴을 함유하는 저 저항률 막들| US9984869B1|2017-04-17|2018-05-29|Asm Ip Holding B.V.|Method of plasma-assisted cyclic deposition using ramp-down flow of reactant gas| US10242879B2|2017-04-20|2019-03-26|Lam Research Corporation|Methods and apparatus for forming smooth and conformal cobalt film by atomic layer deposition| KR20180119477A|2017-04-25|2018-11-02|에이에스엠 아이피 홀딩 비.브이.|박막 증착 방법 및 반도체 장치의 제조 방법| US20180350587A1|2017-05-05|2018-12-06|Asm Ip Holding B.V.|Plasma enhanced deposition processes for controlled formation of metal oxide thin films| US10446393B2|2017-05-08|2019-10-15|Asm Ip Holding B.V.|Methods for forming silicon-containing epitaxial layers and related semiconductor device structures| US10770286B2|2017-05-08|2020-09-08|Asm Ip Holdings B.V.|Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures| US10892156B2|2017-05-08|2021-01-12|Asm Ip Holding B.V.|Methods for forming a silicon nitride film on a substrate and related semiconductor device structures| US20180325414A1|2017-05-12|2018-11-15|Tech4Imaging Llc|Electro-magneto volume tomography system and methodology for non-invasive volume tomography| KR20180130854A|2017-05-30|2018-12-10|에이에스엠 아이피 홀딩 비.브이.|기판 지지 장치 및 이를 포함하는 기판 처리 장치| US10504742B2|2017-05-31|2019-12-10|Asm Ip Holding B.V.|Method of atomic layer etching using hydrogen plasma| US10886123B2|2017-06-02|2021-01-05|Asm Ip Holding B.V.|Methods for forming low temperature semiconductor layers and related semiconductor device structures| US10246777B2|2017-06-12|2019-04-02|Asm Ip Holding B.V.|Heater block having continuous concavity| KR20180137064A|2017-06-15|2018-12-27|삼성전자주식회사|텅스텐 전구체 및 이를 이용한 텅스텐 함유막의 형성 방법| US20180363139A1|2017-06-20|2018-12-20|Asm Ip Holding B.V.|Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus| US20190003052A1|2017-06-28|2019-01-03|Asm Ip Holding B.V.|Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus| US10361522B2|2017-06-29|2019-07-23|Commscope Technologies Llc|Inner contact for coaxial cable| US10685834B2|2017-07-05|2020-06-16|Asm Ip Holdings B.V.|Methods for forming a silicon germanium tin layer and related semiconductor device structures| TW201908027A|2017-07-13|2019-03-01|荷蘭商Asm智慧財產控股公司|於單一加工腔室中自半導體膜移除氧化物及碳之裝置及方法| KR20190009245A|2017-07-18|2019-01-28|에이에스엠 아이피 홀딩 비.브이.|반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물| US10541333B2|2017-07-19|2020-01-21|Asm Ip Holding B.V.|Method for depositing a group IV semiconductor and related semiconductor device structures| US11018002B2|2017-07-19|2021-05-25|Asm Ip Holding B.V.|Method for selectively depositing a Group IV semiconductor and related semiconductor device structures| US20190027583A1|2017-07-19|2019-01-24|Asm Ip Holding B.V.|Method for depositing a group iv semiconductor and related semiconductor device structures| US10312055B2|2017-07-26|2019-06-04|Asm Ip Holding B.V.|Method of depositing film by PEALD using negative bias| US10605530B2|2017-07-26|2020-03-31|Asm Ip Holding B.V.|Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace| US10590535B2|2017-07-26|2020-03-17|Asm Ip Holdings B.V.|Chemical treatment, deposition and/or infiltration apparatus and method for using the same| KR20190013109A|2017-07-31|2019-02-11|에이에스엠 아이피 홀딩 비.브이.|기판 처리 장치| TW201911380A|2017-08-04|2019-03-16|荷蘭商Asm智慧財產控股公司|用於分配反應腔內氣體的噴頭總成、及用於控制所使用噴頭總成的溫度均勻性之方法| US10692741B2|2017-08-08|2020-06-23|Asm Ip Holdings B.V.|Radiation shield| US10770336B2|2017-08-08|2020-09-08|Asm Ip Holding B.V.|Substrate lift mechanism and reactor including same| TW201923942A|2017-08-09|2019-06-16|荷蘭商Asm智慧財產控股公司|用於儲存基板用之卡匣的儲存設備及備有其之處理設備| US10249524B2|2017-08-09|2019-04-02|Asm Ip Holding B.V.|Cassette holder assembly for a substrate cassette and holding member for use in such assembly| US10763108B2|2017-08-18|2020-09-01|Lam Research Corporation|Geometrically selective deposition of a dielectric film| US10236177B1|2017-08-22|2019-03-19|ASM IP Holding B.V..|Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures| US20190066997A1|2017-08-29|2019-02-28|Asm Ip Holding B.V.|Layer forming method and apparatus| US20190067003A1|2017-08-30|2019-02-28|Asm Ip Holding B.V.|Methods for depositing a molybdenum metal film on a dielectric surface of a substrate and related semiconductor device structures| KR20190023920A|2017-08-30|2019-03-08|에이에스엠 아이피 홀딩 비.브이.|기판 처리 장치| US11056344B2|2017-08-30|2021-07-06|Asm Ip Holding B.V.|Layer forming method| US20190067014A1|2017-08-30|2019-02-28|Asm Ip Holding B.V.|Methods for filling a gap feature on a substrate surface and related semiconductor device structures| US20190067095A1|2017-08-30|2019-02-28|Asm Ip Holding B.V.|Layer forming method| US20190067094A1|2017-08-30|2019-02-28|Asm Ip Holding B.V.|Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures| US10106892B1|2017-08-31|2018-10-23|Globalfoundries Inc.|Thermal oxide equivalent low temperature ALD oxide for dual purpose gate oxide and method for producing the same| KR20190024245A|2017-08-31|2019-03-08|에이에스엠 아이피 홀딩 비.브이.|기판 처리 장치| US10269559B2|2017-09-13|2019-04-23|Lam Research Corporation|Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer| US10607895B2|2017-09-18|2020-03-31|Asm Ip Holdings B.V.|Method for forming a semiconductor device structure comprising a gate fill metal| EP3460177B1|2017-09-21|2021-11-10|AccessESP UK Limited|Stress control cones for downhole electrical power system tubing encapsulated power cables| KR20190033455A|2017-09-21|2019-03-29|에이에스엠 아이피 홀딩 비.브이.|침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치| US10844484B2|2017-09-22|2020-11-24|Asm Ip Holding B.V.|Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods| US10658205B2|2017-09-28|2020-05-19|Asm Ip Holdings B.V.|Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber| US10403504B2|2017-10-05|2019-09-03|Asm Ip Holding B.V.|Method for selectively depositing a metallic film on a substrate| US10319588B2|2017-10-10|2019-06-11|Asm Ip Holding B.V.|Method for depositing a metal chalcogenide on a substrate by cyclical deposition| US10923344B2|2017-10-30|2021-02-16|Asm Ip Holding B.V.|Methods for forming a semiconductor structure and related semiconductor structures| US10910262B2|2017-11-16|2021-02-02|Asm Ip Holding B.V.|Method of selectively depositing a capping layer structure on a semiconductor device structure| KR20190056158A|2017-11-16|2019-05-24|에이에스엠 아이피 홀딩 비.브이.|기판 처리 장치 방법 및 그에 의해 제조된 장치| US11022879B2|2017-11-24|2021-06-01|Asm Ip Holding B.V.|Method of forming an enhanced unexposed photoresist layer| US10290508B1|2017-12-05|2019-05-14|Asm Ip Holding B.V.|Method for forming vertical spacers for spacer-defined patterning| US10872771B2|2018-01-16|2020-12-22|Asm Ip Holding B. V.|Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures| US11018047B2|2018-01-25|2021-05-25|Asm Ip Holding B.V.|Hybrid lift pin| US11081345B2|2018-02-06|2021-08-03|Asm Ip Holding B.V.|Method of post-deposition treatment for silicon oxide film| US10896820B2|2018-02-14|2021-01-19|Asm Ip Holding B.V.|Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process| US10731249B2|2018-02-15|2020-08-04|Asm Ip Holding B.V.|Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus| US20190276934A1|2018-03-09|2019-09-12|Asm Ip Holding B.V.|Semiconductor processing apparatus and methods for monitoring and controlling a semiconductor processing apparatus| US11114283B2|2018-03-16|2021-09-07|Asm Ip Holding B.V.|Reactor, system including the reactor, and methods of manufacturing and using same| KR20190113580A|2018-03-27|2019-10-08|에이에스엠 아이피 홀딩 비.브이.|기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조| US10510536B2|2018-03-29|2019-12-17|Asm Ip Holding B.V.|Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber| KR20190114682A|2018-03-30|2019-10-10|에이에스엠 아이피 홀딩 비.브이.|기판 처리 방법| KR20190118077A|2018-04-09|2019-10-17|에이에스엠 아이피 홀딩 비.브이.|기판 지지 장치, 이를 포함하는 기판 처리 장치 및 기판 처리 방법| WO2020181095A1|2019-03-06|2020-09-10|Nomis Llc|Pocket hole jig|US10378106B2|2008-11-14|2019-08-13|Asm Ip Holding B.V.|Method of forming insulation film by modified PEALD| US9394608B2|2009-04-06|2016-07-19|Asm America, Inc.|Semiconductor processing reactor and components thereof| US8802201B2|2009-08-14|2014-08-12|Asm America, Inc.|Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species| US9312155B2|2011-06-06|2016-04-12|Asm Japan K.K.|High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules| US9793148B2|2011-06-22|2017-10-17|Asm Japan K.K.|Method for positioning wafers in multiple wafer transport| US10364496B2|2011-06-27|2019-07-30|Asm Ip Holding B.V.|Dual section module having shared and unshared mass flow controllers| US10854498B2|2011-07-15|2020-12-01|Asm Ip Holding B.V.|Wafer-supporting device and method for producing same| US9017481B1|2011-10-28|2015-04-28|Asm America, Inc.|Process feed management for semiconductor substrate processing| US8946830B2|2012-04-04|2015-02-03|Asm Ip Holdings B.V.|Metal oxide protective layer for a semiconductor device| US9558931B2|2012-07-27|2017-01-31|Asm Ip Holding B.V.|System and method for gas-phase sulfur passivation of a semiconductor surface| US9659799B2|2012-08-28|2017-05-23|Asm Ip Holding B.V.|Systems and methods for dynamic semiconductor process scheduling| US9021985B2|2012-09-12|2015-05-05|Asm Ip Holdings B.V.|Process gas management for an inductively-coupled plasma deposition reactor| US9324811B2|2012-09-26|2016-04-26|Asm Ip Holding B.V.|Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same| US10714315B2|2012-10-12|2020-07-14|Asm Ip Holdings B.V.|Semiconductor reaction chamber showerhead| US9640416B2|2012-12-26|2017-05-02|Asm Ip Holding B.V.|Single-and dual-chamber module-attachable wafer-handling chamber| US9543186B2|2013-02-01|2017-01-10|Applied Materials, Inc.|Substrate support with controlled sealing gap| US9589770B2|2013-03-08|2017-03-07|Asm Ip Holding B.V.|Method and systems for in-situ formation of intermediate reactive species| US9484191B2|2013-03-08|2016-11-01|Asm Ip Holding B.V.|Pulsed remote plasma method and system| US8993054B2|2013-07-12|2015-03-31|Asm Ip Holding B.V.|Method and system to reduce outgassing in a reaction chamber| US9018111B2|2013-07-22|2015-04-28|Asm Ip Holding B.V.|Semiconductor reaction chamber with plasma capabilities| KR20150015714A|2013-08-01|2015-02-11|삼성전자주식회사|서셉터 및 이를 포함하는 막 증착장치| US9793115B2|2013-08-14|2017-10-17|Asm Ip Holding B.V.|Structures and devices including germanium-tin films and methods of forming same| US9240412B2|2013-09-27|2016-01-19|Asm Ip Holding B.V.|Semiconductor structure and device and methods of forming same using selective epitaxial process| US9556516B2|2013-10-09|2017-01-31|ASM IP Holding B.V|Method for forming Ti-containing film by PEALD using TDMAT or TDEAT| US10179947B2|2013-11-26|2019-01-15|Asm Ip Holding B.V.|Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition| US10683571B2|2014-02-25|2020-06-16|Asm Ip Holding B.V.|Gas supply manifold and method of supplying gases to chamber using same| US10167557B2|2014-03-18|2019-01-01|Asm Ip Holding B.V.|Gas distribution system, reactor including the system, and methods of using the same| US9447498B2|2014-03-18|2016-09-20|Asm Ip Holding B.V.|Method for performing uniform processing in gas system-sharing multiple reaction chambers| US11015245B2|2014-03-19|2021-05-25|Asm Ip Holding B.V.|Gas-phase reactor and system having exhaust plenum and components thereof| US9404587B2|2014-04-24|2016-08-02|ASM IP Holding B.V|Lockout tagout for semiconductor vacuum valve| US10858737B2|2014-07-28|2020-12-08|Asm Ip Holding B.V.|Showerhead assembly and components thereof| US9543180B2|2014-08-01|2017-01-10|Asm Ip Holding B.V.|Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum| US9890456B2|2014-08-21|2018-02-13|Asm Ip Holding B.V.|Method and system for in situ formation of gas-phase compounds| CN105369348B|2014-08-29|2017-12-12|中微半导体设备有限公司|一种用于mocvd反应系统的晶圆载盘| US9657845B2|2014-10-07|2017-05-23|Asm Ip Holding B.V.|Variable conductance gas distribution apparatus and method| US10941490B2|2014-10-07|2021-03-09|Asm Ip Holding B.V.|Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same| KR102300403B1|2014-11-19|2021-09-09|에이에스엠 아이피 홀딩 비.브이.|박막 증착 방법| KR102263121B1|2014-12-22|2021-06-09|에이에스엠 아이피 홀딩 비.브이.|반도체 소자 및 그 제조 방법| US9478415B2|2015-02-13|2016-10-25|Asm Ip Holding B.V.|Method for forming film having low resistance and shallow junction depth| US10529542B2|2015-03-11|2020-01-07|Asm Ip Holdings B.V.|Cross-flow reactor and method| US10276355B2|2015-03-12|2019-04-30|Asm Ip Holding B.V.|Multi-zone reactor, system including the reactor, and method of using the same| CN105448821B|2015-06-16|2018-12-25|湘潭大学|一种获得大面积铁电薄膜晶体管阵列制备工艺的方法| US10458018B2|2015-06-26|2019-10-29|Asm Ip Holding B.V.|Structures including metal carbide material, devices including the structures, and methods of forming same| US10600673B2|2015-07-07|2020-03-24|Asm Ip Holding B.V.|Magnetic susceptor to baseplate seal| US9899291B2|2015-07-13|2018-02-20|Asm Ip Holding B.V.|Method for protecting layer by forming hydrocarbon-based extremely thin film| US10043661B2|2015-07-13|2018-08-07|Asm Ip Holding B.V.|Method for protecting layer by forming hydrocarbon-based extremely thin film| US10083836B2|2015-07-24|2018-09-25|Asm Ip Holding B.V.|Formation of boron-doped titanium metal films with high work function| US10087525B2|2015-08-04|2018-10-02|Asm Ip Holding B.V.|Variable gap hard stop design| US9647114B2|2015-08-14|2017-05-09|Asm Ip Holding B.V.|Methods of forming highly p-type doped germanium tin films and structures and devices including the films| US9711345B2|2015-08-25|2017-07-18|Asm Ip Holding B.V.|Method for forming aluminum nitride-based film by PEALD| US9960072B2|2015-09-29|2018-05-01|Asm Ip Holding B.V.|Variable adjustment for precise matching of multiple chamber cavity housings| CN106571329A|2015-10-12|2017-04-19|沈阳拓荆科技有限公司|一种晶圆基板支架结构| US9909214B2|2015-10-15|2018-03-06|Asm Ip Holding B.V.|Method for depositing dielectric film in trenches by PEALD| US10211308B2|2015-10-21|2019-02-19|Asm Ip Holding B.V.|NbMC layers| US10322384B2|2015-11-09|2019-06-18|Asm Ip Holding B.V.|Counter flow mixer for process chamber| US9455138B1|2015-11-10|2016-09-27|Asm Ip Holding B.V.|Method for forming dielectric film in trenches by PEALD using H-containing gas| US9905420B2|2015-12-01|2018-02-27|Asm Ip Holding B.V.|Methods of forming silicon germanium tin films and structures and devices including the films| US9607837B1|2015-12-21|2017-03-28|Asm Ip Holding B.V.|Method for forming silicon oxide cap layer for solid state diffusion process| US9735024B2|2015-12-28|2017-08-15|Asm Ip Holding B.V.|Method of atomic layer etching using functional group-containing fluorocarbon| US9627221B1|2015-12-28|2017-04-18|Asm Ip Holding B.V.|Continuous process incorporating atomic layer etching| US11139308B2|2015-12-29|2021-10-05|Asm Ip Holding B.V.|Atomic layer deposition of III-V compounds to form V-NAND devices| US10529554B2|2016-02-19|2020-01-07|Asm Ip Holding B.V.|Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches| US10468251B2|2016-02-19|2019-11-05|Asm Ip Holding B.V.|Method for forming spacers using silicon nitride film for spacer-defined multiple patterning| US9754779B1|2016-02-19|2017-09-05|Asm Ip Holding B.V.|Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches| US10501866B2|2016-03-09|2019-12-10|Asm Ip Holding B.V.|Gas distribution apparatus for improved film uniformity in an epitaxial system| US10343920B2|2016-03-18|2019-07-09|Asm Ip Holding B.V.|Aligned carbon nanotubes| US9892913B2|2016-03-24|2018-02-13|Asm Ip Holding B.V.|Radial and thickness control via biased multi-port injection settings| US10087522B2|2016-04-21|2018-10-02|Asm Ip Holding B.V.|Deposition of metal borides| US10865475B2|2016-04-21|2020-12-15|Asm Ip Holding B.V.|Deposition of metal borides and silicides| US10190213B2|2016-04-21|2019-01-29|Asm Ip Holding B.V.|Deposition of metal borides| US10367080B2|2016-05-02|2019-07-30|Asm Ip Holding B.V.|Method of forming a germanium oxynitride film| US10032628B2|2016-05-02|2018-07-24|Asm Ip Holding B.V.|Source/drain performance through conformal solid state doping| KR20170129475A|2016-05-17|2017-11-27|에이에스엠 아이피 홀딩 비.브이.|금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법| US10388509B2|2016-06-28|2019-08-20|Asm Ip Holding B.V.|Formation of epitaxial layers via dislocation filtering| US10612137B2|2016-07-08|2020-04-07|Asm Ip Holdings B.V.|Organic reactants for atomic layer deposition| US9859151B1|2016-07-08|2018-01-02|Asm Ip Holding B.V.|Selective film deposition method to form air gaps| US9793135B1|2016-07-14|2017-10-17|ASM IP Holding B.V|Method of cyclic dry etching using etchant film| US10714385B2|2016-07-19|2020-07-14|Asm Ip Holding B.V.|Selective deposition of tungsten| KR102354490B1|2016-07-27|2022-01-21|에이에스엠 아이피 홀딩 비.브이.|기판 처리 방법| US10177025B2|2016-07-28|2019-01-08|Asm Ip Holding B.V.|Method and apparatus for filling a gap| KR20180013034A|2016-07-28|2018-02-07|에이에스엠 아이피 홀딩 비.브이.|기판 가공 장치 및 그 동작 방법| US9812320B1|2016-07-28|2017-11-07|Asm Ip Holding B.V.|Method and apparatus for filling a gap| US10395919B2|2016-07-28|2019-08-27|Asm Ip Holding B.V.|Method and apparatus for filling a gap| US9887082B1|2016-07-28|2018-02-06|Asm Ip Holding B.V.|Method and apparatus for filling a gap| US10090316B2|2016-09-01|2018-10-02|Asm Ip Holding B.V.|3D stacked multilayer semiconductor memory using doped select transistor channel| US10410943B2|2016-10-13|2019-09-10|Asm Ip Holding B.V.|Method for passivating a surface of a semiconductor and related systems| US10643826B2|2016-10-26|2020-05-05|Asm Ip Holdings B.V.|Methods for thermally calibrating reaction chambers| US10643904B2|2016-11-01|2020-05-05|Asm Ip Holdings B.V.|Methods for forming a semiconductor device and related semiconductor device structures| US10435790B2|2016-11-01|2019-10-08|Asm Ip Holding B.V.|Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap| US10229833B2|2016-11-01|2019-03-12|Asm Ip Holding B.V.|Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures| US10714350B2|2016-11-01|2020-07-14|ASM IP Holdings, B.V.|Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures| US10134757B2|2016-11-07|2018-11-20|Asm Ip Holding B.V.|Method of processing a substrate and a device manufactured by using the method| KR20180054366A|2016-11-15|2018-05-24|에이에스엠 아이피 홀딩 비.브이.|기체 공급 유닛 및 이를 포함하는 기판 처리 장치| US10340135B2|2016-11-28|2019-07-02|Asm Ip Holding B.V.|Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride| KR20180068582A|2016-12-14|2018-06-22|에이에스엠 아이피 홀딩 비.브이.|기판 처리 장치| US9916980B1|2016-12-15|2018-03-13|Asm Ip Holding B.V.|Method of forming a structure on a substrate| KR20180070971A|2016-12-19|2018-06-27|에이에스엠 아이피 홀딩 비.브이.|기판 처리 장치| US10269558B2|2016-12-22|2019-04-23|Asm Ip Holding B.V.|Method of forming a structure on a substrate| US10867788B2|2016-12-28|2020-12-15|Asm Ip Holding B.V.|Method of forming a structure on a substrate| US10655221B2|2017-02-09|2020-05-19|Asm Ip Holding B.V.|Method for depositing oxide film by thermal ALD and PEALD| US10468261B2|2017-02-15|2019-11-05|Asm Ip Holding B.V.|Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures| US10283353B2|2017-03-29|2019-05-07|Asm Ip Holding B.V.|Method of reforming insulating film deposited on substrate with recess pattern| US10529563B2|2017-03-29|2020-01-07|Asm Ip Holdings B.V.|Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures| US10103040B1|2017-03-31|2018-10-16|Asm Ip Holding B.V.|Apparatus and method for manufacturing a semiconductor device| USD830981S1|2017-04-07|2018-10-16|Asm Ip Holding B.V.|Susceptor for semiconductor substrate processing apparatus| KR20180119477A|2017-04-25|2018-11-02|에이에스엠 아이피 홀딩 비.브이.|박막 증착 방법 및 반도체 장치의 제조 방법| US10892156B2|2017-05-08|2021-01-12|Asm Ip Holding B.V.|Methods for forming a silicon nitride film on a substrate and related semiconductor device structures| US10770286B2|2017-05-08|2020-09-08|Asm Ip Holdings B.V.|Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures| US10446393B2|2017-05-08|2019-10-15|Asm Ip Holding B.V.|Methods for forming silicon-containing epitaxial layers and related semiconductor device structures| US10504742B2|2017-05-31|2019-12-10|Asm Ip Holding B.V.|Method of atomic layer etching using hydrogen plasma| US10886123B2|2017-06-02|2021-01-05|Asm Ip Holding B.V.|Methods for forming low temperature semiconductor layers and related semiconductor device structures| US10685834B2|2017-07-05|2020-06-16|Asm Ip Holdings B.V.|Methods for forming a silicon germanium tin layer and related semiconductor device structures| KR20190009245A|2017-07-18|2019-01-28|에이에스엠 아이피 홀딩 비.브이.|반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물| US11018002B2|2017-07-19|2021-05-25|Asm Ip Holding B.V.|Method for selectively depositing a Group IV semiconductor and related semiconductor device structures| US10541333B2|2017-07-19|2020-01-21|Asm Ip Holding B.V.|Method for depositing a group IV semiconductor and related semiconductor device structures| US10590535B2|2017-07-26|2020-03-17|Asm Ip Holdings B.V.|Chemical treatment, deposition and/or infiltration apparatus and method for using the same| US10312055B2|2017-07-26|2019-06-04|Asm Ip Holding B.V.|Method of depositing film by PEALD using negative bias| US10605530B2|2017-07-26|2020-03-31|Asm Ip Holding B.V.|Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace| US10770336B2|2017-08-08|2020-09-08|Asm Ip Holding B.V.|Substrate lift mechanism and reactor including same| US10692741B2|2017-08-08|2020-06-23|Asm Ip Holdings B.V.|Radiation shield| US11139191B2|2017-08-09|2021-10-05|Asm Ip Holding B.V.|Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith| US10249524B2|2017-08-09|2019-04-02|Asm Ip Holding B.V.|Cassette holder assembly for a substrate cassette and holding member for use in such assembly| US10236177B1|2017-08-22|2019-03-19|ASM IP Holding B.V..|Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures| USD900036S1|2017-08-24|2020-10-27|Asm Ip Holding B.V.|Heater electrical connector and adapter| US11056344B2|2017-08-30|2021-07-06|Asm Ip Holding B.V.|Layer forming method| KR20190023920A|2017-08-30|2019-03-08|에이에스엠 아이피 홀딩 비.브이.|기판 처리 장치| US10607895B2|2017-09-18|2020-03-31|Asm Ip Holdings B.V.|Method for forming a semiconductor device structure comprising a gate fill metal| KR20190033455A|2017-09-21|2019-03-29|에이에스엠 아이피 홀딩 비.브이.|침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치| US10844484B2|2017-09-22|2020-11-24|Asm Ip Holding B.V.|Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods| US10658205B2|2017-09-28|2020-05-19|Asm Ip Holdings B.V.|Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber| US10403504B2|2017-10-05|2019-09-03|Asm Ip Holding B.V.|Method for selectively depositing a metallic film on a substrate| US10319588B2|2017-10-10|2019-06-11|Asm Ip Holding B.V.|Method for depositing a metal chalcogenide on a substrate by cyclical deposition| US10923344B2|2017-10-30|2021-02-16|Asm Ip Holding B.V.|Methods for forming a semiconductor structure and related semiconductor structures| KR20190056158A|2017-11-16|2019-05-24|에이에스엠 아이피 홀딩 비.브이.|기판 처리 장치 방법 및 그에 의해 제조된 장치| US10910262B2|2017-11-16|2021-02-02|Asm Ip Holding B.V.|Method of selectively depositing a capping layer structure on a semiconductor device structure| US11022879B2|2017-11-24|2021-06-01|Asm Ip Holding B.V.|Method of forming an enhanced unexposed photoresist layer| CN111316417A|2017-11-27|2020-06-19|阿斯莫Ip控股公司|与批式炉偕同使用的用于储存晶圆匣的储存装置| US10290508B1|2017-12-05|2019-05-14|Asm Ip Holding B.V.|Method for forming vertical spacers for spacer-defined patterning| CN108082848A|2018-01-05|2018-05-29|广西南宁侨盛木业有限责任公司|一种点接触式自动送板装置| CN108249101A|2018-01-05|2018-07-06|广西南宁侨盛木业有限责任公司|多级点接触式自动送板系统| US10872771B2|2018-01-16|2020-12-22|Asm Ip Holding B. V.|Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures| USD903477S1|2018-01-24|2020-12-01|Asm Ip Holdings B.V.|Metal clamp| US11018047B2|2018-01-25|2021-05-25|Asm Ip Holding B.V.|Hybrid lift pin| USD880437S1|2018-02-01|2020-04-07|Asm Ip Holding B.V.|Gas supply plate for semiconductor manufacturing apparatus| US10535516B2|2018-02-01|2020-01-14|Asm Ip Holdings B.V.|Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures| US11081345B2|2018-02-06|2021-08-03|Asm Ip Holding B.V.|Method of post-deposition treatment for silicon oxide film| US10896820B2|2018-02-14|2021-01-19|Asm Ip Holding B.V.|Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process| US10731249B2|2018-02-15|2020-08-04|Asm Ip Holding B.V.|Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus| US10658181B2|2018-02-20|2020-05-19|Asm Ip Holding B.V.|Method of spacer-defined direct patterning in semiconductor fabrication| US10975470B2|2018-02-23|2021-04-13|Asm Ip Holding B.V.|Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment| US11114283B2|2018-03-16|2021-09-07|Asm Ip Holding B.V.|Reactor, system including the reactor, and methods of manufacturing and using same| KR20190113580A|2018-03-27|2019-10-08|에이에스엠 아이피 홀딩 비.브이.|기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조| US11088002B2|2018-03-29|2021-08-10|Asm Ip Holding B.V.|Substrate rack and a substrate processing system and method| US11230766B2|2018-03-29|2022-01-25|Asm Ip Holding B.V.|Substrate processing apparatus and method| US10510536B2|2018-03-29|2019-12-17|Asm Ip Holding B.V.|Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber| KR20190114682A|2018-03-30|2019-10-10|에이에스엠 아이피 홀딩 비.브이.|기판 처리 방법| KR20190129718A|2018-05-11|2019-11-20|에이에스엠 아이피 홀딩 비.브이.|기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조| US10797133B2|2018-06-21|2020-10-06|Asm Ip Holding B.V.|Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures| US10612136B2|2018-06-29|2020-04-07|ASM IP Holding, B.V.|Temperature-controlled flange and reactor system including same| KR20200002519A|2018-06-29|2020-01-08|에이에스엠 아이피 홀딩 비.브이.|박막 증착 방법 및 반도체 장치의 제조 방법| US10755922B2|2018-07-03|2020-08-25|Asm Ip Holding B.V.|Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition| US10388513B1|2018-07-03|2019-08-20|Asm Ip Holding B.V.|Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition| US10767789B2|2018-07-16|2020-09-08|Asm Ip Holding B.V.|Diaphragm valves, valve components, and methods for forming valve components| US10483099B1|2018-07-26|2019-11-19|Asm Ip Holding B.V.|Method for forming thermally stable organosilicon polymer film| US11053591B2|2018-08-06|2021-07-06|Asm Ip Holding B.V.|Multi-port gas injection system and reactor system including same| US10883175B2|2018-08-09|2021-01-05|Asm Ip Holding B.V.|Vertical furnace for processing substrates and a liner for use therein| US10829852B2|2018-08-16|2020-11-10|Asm Ip Holding B.V.|Gas distribution device for a wafer processing apparatus| US11024523B2|2018-09-11|2021-06-01|Asm Ip Holding B.V.|Substrate processing apparatus and method| US11049751B2|2018-09-14|2021-06-29|Asm Ip Holding B.V.|Cassette supply system to store and handle cassettes and processing apparatus equipped therewith| US11232963B2|2018-10-03|2022-01-25|Asm Ip Holding B.V.|Substrate processing apparatus and method| US10847365B2|2018-10-11|2020-11-24|Asm Ip Holding B.V.|Method of forming conformal silicon carbide film by cyclic CVD| US10811256B2|2018-10-16|2020-10-20|Asm Ip Holding B.V.|Method for etching a carbon-containing feature| KR20200045067A|2018-10-19|2020-05-04|에이에스엠 아이피 홀딩 비.브이.|기판 처리 장치 및 기판 처리 방법| US10381219B1|2018-10-25|2019-08-13|Asm Ip Holding B.V.|Methods for forming a silicon nitride film| US11087997B2|2018-10-31|2021-08-10|Asm Ip Holding B.V.|Substrate processing apparatus for processing substrates| US11031242B2|2018-11-07|2021-06-08|Asm Ip Holding B.V.|Methods for depositing a boron doped silicon germanium film| US10818758B2|2018-11-16|2020-10-27|Asm Ip Holding B.V.|Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures| US10847366B2|2018-11-16|2020-11-24|Asm Ip Holding B.V.|Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process| US10559458B1|2018-11-26|2020-02-11|Asm Ip Holding B.V.|Method of forming oxynitride film| US11217444B2|2018-11-30|2022-01-04|Asm Ip Holding B.V.|Method for forming an ultraviolet radiation responsive metal oxide-containing film| US11158513B2|2018-12-13|2021-10-26|Asm Ip Holding B.V.|Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures| KR20200091543A|2019-01-22|2020-07-31|에이에스엠 아이피 홀딩 비.브이.|기판 처리 장치| CN111524788A|2019-02-01|2020-08-11|Asm Ip私人控股有限公司|氧化硅的拓扑选择性膜形成的方法| JP2020136678A|2019-02-20|2020-08-31|エーエスエム・アイピー・ホールディング・ベー・フェー|基材表面内に形成された凹部を充填するための方法および装置| KR20200102352A|2019-02-20|2020-08-31|에이에스엠 아이피 홀딩 비.브이.|처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치| KR20200108243A|2019-03-08|2020-09-17|에이에스엠 아이피 홀딩 비.브이.|SiOC 층을 포함한 구조체 및 이의 형성 방법| USD935572S1|2019-05-24|2021-11-09|Asm Ip Holding B.V.|Gas channel plate| USD922229S1|2019-06-05|2021-06-15|Asm Ip Holding B.V.|Device for controlling a temperature of a gas supply unit| USD931978S1|2019-06-27|2021-09-28|Asm Ip Holding B.V.|Showerhead vacuum transport| JP1651258S|2019-07-29|2020-01-27||| US11227782B2|2019-07-31|2022-01-18|Asm Ip Holding B.V.|Vertical batch furnace assembly| USD930782S1|2019-08-22|2021-09-14|Asm Ip Holding B.V.|Gas distributor| USD940837S1|2019-08-22|2022-01-11|Asm Ip Holding B.V.|Electrode| USD937536S1|2020-07-30|2021-12-07|Alan Ludwig|Waffle|
法律状态:
优先权:
[返回顶部]
申请号 | 申请日 | 专利标题 US13/184,351|US10854498B2|2011-07-15|2011-07-15|Wafer-supporting device and method for producing same| 相关专利
Sulfonates, polymers, resist compositions and patterning process
Washing machine
Washing machine
Device for fixture finishing and tension adjusting of membrane
Structure for Equipping Band in a Plane Cathode Ray Tube
Process for preparation of 7 alpha-carboxyl 9, 11-epoxy steroids and intermediates useful therein an
国家/地区
|